三分频用两个在不同的时钟沿的序列发生器来构成一个3分频信号:

`timescale 1ns/10ps
module div_3(clkin,clkout1,clkout2,clkout3);
input clkin;
output clkout1,clkout2,clkout3;
reg [1:0] step1,step0;
always @(posedge clkin)
begincase(step0)2'b00: step0 <= 2'b01;2'b01: step0 <= 2'b10;2'b10: step0 <= 2'b00;default: step0 <= 2'b00;endcase
end
always@(negedge clkin)
begincase(step1)2'b00: step1 <= 2'b01;2'b01: step1 <= 2'b10;2'b10: step1 <= 2'b00;default: step1 <= 2'b00;
endcase
end
assign clkout1 = step0;
assign clkout2 = step1;
assign clkout3 = ~(step0|step1);
endmodule

测试程序如下:

module div_2_tb();
reg clkin;
wire clkout1,clkout2,clkout3;
div_3 wt (.clkin(clkin),  .clkout1(clkout1),.clkout2(clkout2),.clkout3(clkout3));initial
beginclkin = 0;
endalwaysbegin#10 clkin = ~clkin;endendmodule

三分频电路Verilog设计相关推荐

  1. 设计占空比为50%的三分频电路

    设计占空比为50%的三分频电路 时序图工具 {signal: [ {name: 'clk', wave: 'P-P-'}, {name: 'clk1', wave: 'H.LH.LH.L'}, {na ...

  2. 题目:用Verilog实现三分频电路,要求输出50%占空比。

    题目:用Verilog实现三分频电路,要求输出50%占空比. module Div_three(input clk,input rst_n,output div_three ); reg [1:0] ...

  3. 一、verilog编写三分频电路

    1.目标:使用verilog编写三分频电路,要求占空比大小为50%. 2.方法:分别使用上升沿和下降沿计数cnt_p以及cnt_n,并且计数从0到N-1(N为分频的次数,此时为3):分别对clk_p以 ...

  4. 【4分频电路 -verilog】

    分频器介绍 分频器是指使输出信号频率为输入信号频率整数分之一的电子电路.在许多电子设备中如电子钟.频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到 ...

  5. FPGA三分频电路的实现

    最近刚开始学习FPGA,准备使用verlog实现一些分频电路,偶数倍分频比较好操作,奇数倍分频相对来说要复杂一点点,我在网上查阅了一些资料,我来用我自己的话总结下. 1.最简单最好理解的实现(不推荐) ...

  6. 8分频verilog线_七、八分频电路Verilog源代码

    八分频 模块文件: module div8(clk_i,clk_o,reset); parameter DIV_N = 8; input clk_i; input reset; output clk_ ...

  7. 二分频电路Verilog设计

    2分频电路设计 `timescale 1ns/10ps module div_2(clk,rst,out); input clk,rst; output out;reg q; always@(pose ...

  8. 8分频verilog线_[转载]七、八分频电路Verilog源代码

    八分频 模块文件: module div8(clk_i,clk_o,reset); parameter DIV_N = 8; input clk_i; input reset; output clk_ ...

  9. 三分频的Verilog实现

最新文章

  1. TCP协议的三次握手、四次挥手
  2. 使用Scrapy时出现虽然队列里有很多Request但是却不下载,造成假死状态
  3. 斗地主(矩阵快速幂)
  4. 优化if-else代码的八种方案!
  5. SpringBoot找不到或无法加载主类
  6. python3 多继承搜索__init__方法的两种策略
  7. CIO们拼命都想上的“网红”中台,也有它的另一面
  8. php fpm 日志级别,Php 错误日志级别
  9. 解决Selenium Webdriver执行测试时,每个测试方法都打开一个浏览器窗口的问题
  10. linux用户打开进程数,Linux 用户打开进程数的调整
  11. 软件性能之进程优先级
  12. 小白文件管理器 无法与服务器建立联系,小白文件管理器怎么用
  13. 多功能智慧路灯杆商业模式解决方案
  14. php 调用speex解码库,怎么增加speex解码库
  15. 如何在 Windows 10/11 下通过命令卸载产品许可密钥
  16. dns备用服务器信息,dns服务器地址(dns首选和备用填多少)
  17. 【某deed网测题】D - Yakiniku
  18. JS基础第四课、JQ基础第一课(BOM、JQuery框架)
  19. 关于H5闪退问题--资源优化
  20. TP6集成JWT的步骤。

热门文章

  1. 简单java编程练习题
  2. 2022年旅游业数据分析报告
  3. 虚拟机如何进行备份和迁移?
  4. 嵌入式设备查看系统的版本、编译时间、架构等信息(uname命令)
  5. SQL,什么时候用自身连接????
  6. 2021肇庆各中学高考成绩查询入口,2021高考即将来袭!肇庆各学校的同学们准备好了吗?...
  7. 骨传导耳机有什么优缺点,骨传导耳机好用吗
  8. 联泰科技与赢创联合研发实验室正式成立;丰田携手Fleetsu提供互联车队管理解决方案 | 全球TMT...
  9. c语言能让键盘失灵怎么办,电脑键盘个别字母失灵的三种解决方法
  10. 通过源码分析Mybatis运行原理