八分频

模块文件:

module

div8(clk_i,clk_o,reset);

parameter

DIV_N = 8;

input

clk_i;

input

reset;

output

clk_o;

reg

clk_o;

integer

count;

always @

(negedge reset or posedge clk_i)

begin

if(!reset)

count

<= 0;

else

if(count

== 7)

count

<= 0;

else

count

<= count + 1;

end

always @

(negedge reset or posedge clk_i)

begin

if(!reset)

clk_o <= 0;

else

begin

if(count <= (DIV_N/2 - 1))

clk_o <= 0;

else

clk_o <= 1;

end

end

endmodule

测试文件:

`include

"div8.v"

module div8_t;

reg clk_i;

reg reset;

wire clk_o;

always #10 clk_i = ~clk_i;

initial

begin

#0 reset = 0;clk_i = 0;

#3 reset = 1;

#2000 $stop;

end

div8 div1(.clk_i(clk_i),.clk_o(clk_o),.reset(reset));

endmodule

七分频(占空比2:5)

模块文件:

module

div7(clk_i,clk_o,reset);

parameter DIV_N =

7;

input clk_i;

input reset;

output clk_o;

reg clk_o;

integer count;

always @ (negedge reset or

posedge clk_i)

begin

if(!reset)

count <=

0;

else

if(count == 6)

count <=

0;

else

count <= count +

1;

end

always @ (negedge reset or

posedge clk_i)

begin

if(!reset)

clk_o <= 0;

else

begin

if(count <= (DIV_N+1)/2)

clk_o <= 0;

else

clk_o <= 1;

end

end

endmodule

测试文件:

`include "div7.v"

module

div7_t;

reg

clk_i;

reg

reset;

wire

clk_o;

always #10 clk_i =

~clk_i;

initial

begin

#0 reset = 0;clk_i =

0;

#3 reset =

1;

#2000

$stop;

end

div7

div1(.clk_i(clk_i),.clk_o(clk_o),.reset(reset));

endmodule

8分频verilog线_[转载]七、八分频电路Verilog源代码相关推荐

  1. 8分频verilog线_七、八分频电路Verilog源代码

    八分频 模块文件: module div8(clk_i,clk_o,reset); parameter DIV_N = 8; input clk_i; input reset; output clk_ ...

  2. 8分频verilog线_时钟分频系列——分数分频电路的Verilog实现

    IC君的第47篇原创文章 (欢迎关注公众号 icstudy) 上一篇文章时钟分频系列--偶数分频/奇数分频/分数分频,IC君介绍了各种分频器的设计原理,其中分数分频器较为复杂,这一篇文章IC君再跟大家 ...

  3. 8分频verilog线_任意分数分频Verilog实现

    任意分数 Verilog 实现 网上常见的多为小数分频, 分数分频也为有规律的分频, 如 N/2 . M-1/N 等. 而像 M/N 型分数分频却很少.现介绍一下本人的分数分频实现方法,如果不当 之处 ...

  4. 8分频verilog线_解密POE交换机供电秘密,8芯网线断芯不再害怕!

    一直有朋友问到,poe交换供电时,网络断了一芯后怎么办?解密POE供电秘密,当您的网线有一芯断的时候,这篇文章对您的帮助就会体现出来. 标准的五类网线有四对双绞线,但是在10MBASE-T和100M ...

  5. 雅可比行列式_夏七八写:关于“斜二测画法”与雅可比行列式的关系的想法

    最开始思考这两种有没有关系的时候也是偶然,,受到启发:雅可比行列式有什么意义呢,为什么对于像 的式子为什么要其后乘上一个雅可比行列式的绝对值呢?在说我的想法之前,我想可能要先提一下一些预备知识,大致有 ...

  6. 【数字IC手撕代码】Verilog偶数分频|题目|原理|设计|仿真(二分频,四分频,六分频,八分频,偶数分频及特殊占空比)

    芯片设计验证社区·芯片爱好者聚集地·硬件相关讨论社区·数字verifier星球 四社区联合力荐!近500篇数字IC精品文章收录! [数字IC精品文章收录]学习路线·基础知识·总线·脚本语言·芯片求职· ...

  7. 抠图用绿布还是蓝布_有翡:有翡投资七八亿,为啥播出就被骂烂片?你看槽点有多少...

    原标题:有翡:有翡投资七八亿,为啥播出就被骂烂片?你看槽点有多少 有翡:有翡投资七八亿,为啥播出就被骂烂片?你看槽点有多少 最近,改编自p大的有翡在观众的期待中播出了,这部剧集结了不少老戏骨,也有当红 ...

  8. 耐克人脸识别_耐克的新鞋七八成没人买,他们为什么还要设计?

    演讲:萧兆琳,埃森哲战略大中华区董事总经理 | 编辑:吴蓓宏 "数字化转型要扎扎实实来做,不仅仅是搞一个人脸识别.开个线上店而已." 抬头看天,埋头做事.每个人.每个企业都是时代洪 ...

  9. 如何成为python 数据分析师_如何七周成为数据分析师20:了解和掌握Python的函数...

    本文是<如何七周成为数据分析师>的第二十教程,如果想要了解写作初衷,可以先行阅读七周指南.温馨提示:如果您已经熟悉Python,大可不必再看这篇文章,或只挑选部分. Python之所以灵活 ...

最新文章

  1. 收藏|万字 Matplotlib 实操干货
  2. NOIP 2012 T2 国王游戏 (贪心+高精)
  3. 面试 | HashMap 为什么线程不安全?
  4. 【Linux系统编程】进程和线程的区别
  5. maven 配置篇 之pom.xml
  6. 服务器实际显示内存,服务器实际显示内存大小
  7. Elasticsearch使用REST API实现全文检索
  8. 如何在屏幕实时显示自己键盘的输入字符?
  9. AngularJS入门心得2——何为双向数据绑定
  10. win10创建新的计算机用户名和密码错误,Win10开机提示用户名或密码不正确现象的解决办法...
  11. Github上都没有的“网约车”项目,终于有人给写出来了!
  12. 检查库文件的rpath命令
  13. 2018_08_10_生活记录_关于我和这个博客的说明
  14. c语言怎么调用fork函数,C语言编程创建函数fork() 执行解析.pdf
  15. S1200和博途软件通讯测试,S7-1200博途和组态王软件通讯(高端培训).pdf
  16. android Logcat打印格式说明
  17. 设正整数n的十进制表示为n=ak……a1a0(0=ai=9,0=i=k,ak!=0),n的个位为起始数字的数字的正负交错之和T(n)=a0+a1+……+(-1)kak,证明:11|n的充分必要...
  18. spark-面试题(含答案)
  19. csr867x入门笔记(序)
  20. ROS学习——rotors仿真下载与运行

热门文章

  1. smartdraw 图表制作软件
  2. 神经系统生物结构示意图,神经系统的组成概念图
  3. 自我感悟--思想与行动的结合
  4. 12x12怎么速算_备考 | 资料分析中的乘法速算怎么做?
  5. 声学特征提取-python
  6. 把吃出来的病吃回去 张悟本_书籍:多活几十年:把吃出来的病吃回去
  7. ad域控服务器如何允许软件安装,AD域服务器实现软件安装部署
  8. 使用Eviews做简单线性回归
  9. 银行卡OCR API推荐
  10. Python每日练习 04 你有一个目录,装有很多图片,现在你要把它们的尺寸变成不都大于Iphone5分辨率的大小