设计占空比为50%的三分频电路

时序图工具

{signal: [
{name: ‘clk’, wave: ‘P…P…’},
{name: ‘clk1’, wave: ‘H.LH.LH.L’},
{name: ‘clk2’, wave: ‘lh.lh.lh.’,phase:0.5},
{},
{name: ‘clk1 & clk2’, wave: ‘nhlnhlnhl’}
]}

目前各个FPGA厂家一般都有集成的锁相环资源,但在设计对于时钟要求不高的基本设计,通过逻辑进行时钟分频依然有效,还可以节省芯片内部的锁相环资源,其中分频又分为,偶数分频,奇数数分频,小数分频,此次主要涉及奇数分频,设计一个占空比为50%的三分频电路,仿真环境采用edaplayground.com.

  • 奇数分频原理
    分别采用上升沿进行一个占空比为2/3的始终,在次用下降样设计同样的占空比,最后将两者进行相与,得到占空比为50%的三分频电路。
// Code your design here
`timescale 1ns/1ps
module div3_half(input Sys_clk,input Sys_reset,output div3 ,output clk1,output clk2);
reg clk1;//2/3 is high posedge
reg clk2;//2/3 is high negedge
//counter
reg [1:0]count;
always @ (posedge Sys_clk )if(!Sys_reset)count <= 2'b0;else if(count ==2'd2) count <= 2'b0;elsecount <= count +1'b1;always @(posedge Sys_clk )if(!Sys_reset)beginclk1 <=1'b1;end else if(count == 2'd1 | count == 2'd2)clk1 <= ~clk1;always @(negedge Sys_clk )if(!Sys_reset)beginclk2 <=1'b1;end else if(count == 2'd2 | count ==2'd1)clk2 <= ~clk2;//------------------------------------------------
assign div3 =clk1 & clk2;
endmodule
// Code your testbench here
// or browse Examples
`timescale 1ns/1ps
module tset();reg Sys_clk;reg Sys_reset;wire div3;initialbegin$dumpfile("d.vcd");$dumpvars(1);Sys_clk=0;Sys_reset = 0;#100Sys_reset =1;endalways #10 Sys_clk = ~Sys_clk;div3_half div3_half_inst(Sys_clk,Sys_reset,div3,clk1,clk2);
endmodule

仿真结果

小数分频可以参考博主的博文小数分频

设计占空比为50%的三分频电路相关推荐

  1. Verilog 实现占空比为50%的三分频 断言SVA查看波形

    1.方法一:异或实现 module d3(input clk,input reset,output div_three ); reg [1:0] cnt=2'b00; reg div_clk1; re ...

  2. FPGA三分频电路的实现

    最近刚开始学习FPGA,准备使用verlog实现一些分频电路,偶数倍分频比较好操作,奇数倍分频相对来说要复杂一点点,我在网上查阅了一些资料,我来用我自己的话总结下. 1.最简单最好理解的实现(不推荐) ...

  3. 题目:用Verilog实现三分频电路,要求输出50%占空比。

    题目:用Verilog实现三分频电路,要求输出50%占空比. module Div_three(input clk,input rst_n,output div_three ); reg [1:0] ...

  4. 一、verilog编写三分频电路

    1.目标:使用verilog编写三分频电路,要求占空比大小为50%. 2.方法:分别使用上升沿和下降沿计数cnt_p以及cnt_n,并且计数从0到N-1(N为分频的次数,此时为3):分别对clk_p以 ...

  5. 三分频电路Verilog设计

    三分频用两个在不同的时钟沿的序列发生器来构成一个3分频信号: `timescale 1ns/10ps module div_3(clkin,clkout1,clkout2,clkout3); inpu ...

  6. Multisim使用555定时器设计占空比可调的多谐振荡电路产生方波

    本文原创,转载请注明出处 转载链接:https://blog.csdn.net/Cixil/article/details/104731797 几点注意: 1.元器件选择实际器件而不选择理想器件 2. ...

  7. 一种三分频电路的实现与仿真

    module fenpin;reg clk;reg q1;reg q2;wire d1,d2;initial beginclk = 0;q1 = 0;q2 = 0;endalways #10 clk ...

  8. 奇数偶数分频电路(占空比50%)

    数字电路中经常会遇到需要将时钟信号进行分频,一般分为奇数分频和偶数分频,同时对占空比的要求也不一样,常见的是需要保持占空比仍为50%.本文通过Verilog代码实现简单的分频电路.文末附上所有代码和仿 ...

  9. Verilog 实现占空比为3/5 2/5 1/5 50% 的五分频信号 断言SVA查看波形

    1. 3/5 2/5 1/5占空比的五分频 module div5(clk,reset,clkout); input clk,reset; output reg clkout;//定义输出端 wire ...

最新文章

  1. java 100以内冒泡算法_Java俩种形式实现冒泡排序
  2. boost::mp11::mp_find_if_q相关用法的测试程序
  3. sql privot
  4. 《Java程序设计》 第五周学习总结
  5. C#/.Net Core/WPF框架初建(国际化、主题色)
  6. pandas 链接数据库
  7. ffmpeg将文件转码后推向服务器,FFmpeg转码服务器搭建
  8. xp系统计算机远程桌面连接不上,在XP系统中远程桌面连接不上的解决方式
  9. echarts柱状图显示百分比
  10. html5 3d资源,视觉盛宴 HTML5 3D动画应用赏析
  11. 测试自己移动速度的软件,鼠标灵敏度测试检测工具 测试鼠标的灵敏度与移动速度...
  12. EXE文件结构及读取方法 1
  13. JBoot 全网上最好用的 API 文档工具
  14. 微信小程序html5音频,微信小程序 audio音频播放详解及实例
  15. 2022贵州省农村信用社员工招聘模拟题及答案
  16. 回顾马云屌丝岁月的惨状:多次被拒失声痛哭
  17. 如何区分“衬线体字”和“无衬线体字”?Linux Mint中如何安装字体?
  18. 【Visio2003两根线重叠凸起如何让解决】
  19. CocoaPods的使用--
  20. 服务器虚拟化解决方案

热门文章

  1. 【已修复】U盘做系统盘后内存变小
  2. 计算机视觉(北邮鲁鹏)--边缘提取
  3. 机器学习——Matplotlib入门教程
  4. iOS 字体转换
  5. 极光推送的简单实现方法
  6. 2006-09-28 墨子的双眼皮
  7. 软件系统的多维性能模型
  8. 老毛桃PE系统,迁移系统机械到固态硬盘
  9. Android选择本地视频和照片上传到服务器
  10. Turtle 画方形 画圆 画三角形