分频器介绍

分频器是指使输出信号频率为输入信号频率整数分之一的电子电路。在许多电子设备中如电子钟、频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种频率成分,分频器是一种主要变换手段。

4分频代码

如时钟频率为50MHz,而实际需要(50/6 MHz)的频率,因此就需要4分频电路处理。4分频电路代码设计如下:

`timescale 1ns/1nsmodule tb;reg clk, rst;wire clk_out;div_4 dut(.clk(clk), .rstn(rst), .clk_out(clk_out));initial beginclk <= 0;forever begin#5 clk <= ~clk;endendinitial begin#10 rst <= 0;repeat(2) @(posedge clk);rst <= 1;endinitial begin#500 $finish;end
endmodulemodule div_4(clk, rstn, clk_out);input clk, rstn;output clk_out;reg clk_out;reg [1:0] cnt;always @(posedge clk or negedge rstn)beginif (!rstn) begin // cnt_resetcnt <= 1'b0;endelse if (cnt == 2'b11) begincnt <= 1'b0;endelse begin cnt <= cnt + 1'b1;endendalways @(posedge clk or negedge rstn)  beginif (!rstn) beginclk_out <= 1'b0;endelse if(cnt == 1'b1) beginclk_out <= !clk_out;endelse if (cnt == 2'b11) beginclk_out <= !clk_out;endelse beginclk_out <= clk_out;endend
endmodule

仿真结果

【4分频电路 -verilog】相关推荐

  1. 8分频verilog线_七、八分频电路Verilog源代码

    八分频 模块文件: module div8(clk_i,clk_o,reset); parameter DIV_N = 8; input clk_i; input reset; output clk_ ...

  2. 三分频电路Verilog设计

    三分频用两个在不同的时钟沿的序列发生器来构成一个3分频信号: `timescale 1ns/10ps module div_3(clkin,clkout1,clkout2,clkout3); inpu ...

  3. 8分频verilog线_[转载]七、八分频电路Verilog源代码

    八分频 模块文件: module div8(clk_i,clk_o,reset); parameter DIV_N = 8; input clk_i; input reset; output clk_ ...

  4. 【Verilog HDL 训练】第 11 天(分频电路)

    设计一个占空比50%的三分频电路. 针对这个分频器,博文的末尾会给出一个反面教材,这是我上次写的一个分频器,看起来很好,其实是不能综合的.针对其中的错误,我令立博文记录之:[ Verilog ]alw ...

  5. 题目:用Verilog实现三分频电路,要求输出50%占空比。

    题目:用Verilog实现三分频电路,要求输出50%占空比. module Div_three(input clk,input rst_n,output div_three ); reg [1:0] ...

  6. 一、verilog编写三分频电路

    1.目标:使用verilog编写三分频电路,要求占空比大小为50%. 2.方法:分别使用上升沿和下降沿计数cnt_p以及cnt_n,并且计数从0到N-1(N为分频的次数,此时为3):分别对clk_p以 ...

  7. Verilog实现25分频电路代码

    可以使用一个计数器实现 25 分频电路.可以设置计数器的计数范围为 0 到 24,每次计数器增加 1.在计数器的值为 24 时,将其设置为 0 并触发输出信号.这样就能实现 25 分频.以下是一个简单 ...

  8. Verilog实现之任意分频电路

    一.行波时钟 任意分频电路,相信很多人都听说过这个专业名词,好多视频上都说不建议使用计数器产生的分频时钟.其实在FPGA领域当中,由寄存器分频产生的时钟还有一个学名叫做,行波时钟.是由时序逻辑产生比如 ...

  9. verilog分频电路

    二分频电路: module div2( input clk, input rst_n, output reg clk_o );always@(posedge clk or negedge rst_n) ...

最新文章

  1. 跟我学Springboot开发后端管理系统4:数据库连接池Druid和HikariCP
  2. 实例比较虚幻引擎4与光线追踪渲染
  3. Fencing the Cows [USACO]
  4. angular 的配置文件的应用
  5. python 输入文件名查找_python 查找文件名包含指定字符串的方法
  6. Win7 格式化U盘
  7. 小米冲击高端,这次能否成功?
  8. 30岁前不要让人生留下遗憾笔记
  9. ORACLE常用性能监控SQL
  10. 二分插入排序(折半插入排序)--排序算法(六)
  11. 苏锡常CIO俱乐部春季论坛暨2020年会(苏州站)圆满落幕!
  12. qconshanghai2015
  13. win7计算机右键菜单多,win7系统清理右键菜单多余的选项|win7删除右键菜单多余选项的方法...
  14. LIO-SLAM分析
  15. 纯干货分享,2021年阿里巴巴社招面试题总结,本人上周已成功入职!
  16. 【集大成篇】数据类型( C、C++、Java )对比
  17. SpringBoot 使用Phoenix操作HBase数据库教程
  18. 银行业只是开始,60个可以被区块链改变的行业
  19. ElementUI 介绍
  20. python--摄氏温度华氏温度转换

热门文章

  1. 如何将linux编译过程中的警告及错误信息输出到文件中
  2. SAP库存分析(MC.9) (转)
  3. Class not found so assuming code is running on a pre-Java 9 JVM
  4. android屏幕大小字体大小,Android字体大小自适应不同分辨率的解决办法
  5. 2015三十本好书推荐及理由
  6. 一堆Offer怎么选?这样做就不纠结了
  7. Google表格初学者指南
  8. 软件设计 基础篇(二) 交互设计
  9. k8s的Scheduler 原理(预选策略、优选策略)
  10. oa系统需要的服务器配置,oa办公系统需要服务器配置