1. 设计方案
module test(in,sum);
parameter count=2;
input wire [2*count:0] in;
output reg sum;
reg [7:0] mysum;
integer i;
always@(*)
beginmysum=0;for(i=0;i<=2*count;i=i+1)mysum=mysum+in[i];if(mysum>count)sum=1'b1;elsesum=1'b0;
end
endmodule
  1. 仿真测试
`timescale 1ns/1ns
module test_tb();
parameter count=2;
reg [2*count:0] in;
wire sum;
test i1(in,sum);initial
beginrepeat(100)beginin={$random}%(2**(count+1));#10;end$stop;
end
endmodule
  1. 结果分析

当变量为2时,即总人数为5人

如图中黄色标记表示,当有两人通过时,最后sum为0,即最终表决结果失败;

当有三人通过时,最后sum为1,即最终表决为通过。

当变量为4时,即总人数为9人

如图中黄色标记表示,当有三人通过时,最后sum为0,即最终表决结果失败;

当有五人通过时,最后sum为1,即最终表决为通过。

Verilog实现表决器相关推荐

  1. verilog多数表决器

    fpga学习的第一个工程 出现的问题 ModelSim打开已建立过的工程 File -> Open 在弹出的窗口中,文件类型选.mpf 然后路径指到工程所在文件夹,选择建立的.mpf文件即可 m ...

  2. 【FPGA】Verilog:组合电路设计 | 三输入 | 多数表决器

    前言:本章内容主要是演示Vivado下利用Verilog语言进行电路设计.仿真.综合和下载的示例:表决器(三人表决器). 功能特性: 采用 Xilinx Artix-7 XC7A35T芯片  配置方式 ...

  3. google账号登录第三方平台实现

    一.准备工作 1.开通google账号 进入开发者后台:https://console.cloud.google.com/welcome image.png 2.进入凭据-API和服务中 image. ...

  4. (73)FPGA面试题-Verilog实现5人表决器

    1.1 FPGA面试题-Verilog实现5人表决器 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA面试题-Verilog实现5人表决器: 5)结束语. 1.1 ...

  5. Verilog多种方式实现三人表决器

    查找表LUT 本质上是RAM,一个6输入的LUT中包括6为地址线的64*1的RAM,6输入的LUT有64中输出结果,将64中结果存储下来,可以根据不同的地址输入查找处相应输出结果. LUT实现6输入与 ...

  6. 基于modelsim的十个Verilog入门试验程序(1)(7人表决器+算术逻辑单元)—程序+测试代码+波形+结果分析

    内容 实验一:7人表决器的设计 实验二:算数逻辑单元的设计 实验三:JK触发器的设计 实验四:环形计数器的设计 实验五:顺序排列的设计 实验六:二进制除法器的设计 实验七:数字显示频率计的设计 实验八 ...

  7. verilog之十人表决器

    功能描述:这是一个十个裁判表决的电路,基本功能:每个裁判输入1:同意:0:不同意. 按照少数服从多数的原则,输出情况除了通过.不通过或待定等状态. module first(a1,a2,a3,a4,a ...

  8. 基于modelsim的十个Verilog入门试验程序(2)(JK触发器+环形计数器)—程序+测试代码+波形+结果分析

    内容 实验一:7人表决器的设计 实验二:算数逻辑单元的设计 实验三:JK触发器的设计 实验四:环形计数器的设计 实验五:顺序排列的设计 实验六:二进制除法器的设计 实验七:数字显示频率计的设计 实验八 ...

  9. 三人表决电路——Verilog HDL语言

    三人表决电路 任务描述 相关知识 逻辑原理 三人表决器真值表 编程要求 源代码 任务描述 根据所学的组合逻辑及数字电路的知识完成三人表决电路的设计,实现少数服从多数的表决规则,根据逻辑真值表和逻辑表达 ...

最新文章

  1. html5登录界面源代码_TwinCAT HMI,一款基于web的人机界面产品
  2. mysql utf8mb4 java_mysql中utf8和utf8mb4区别
  3. 快速失败Vs安全失败(Java迭代器附示例)
  4. 个人成长:2021年7月记
  5. 2021牛客第一场 K.Knowledge Test about Match
  6. python 数据分析 实际案例_python在实际工作中运用的案例
  7. 天锐绿盾屏幕监控,违规操作全记录
  8. 有什么可以测试耳机性能的软件吗,测试耳机性能好坏的简单方法
  9. scrt设置右键粘贴,选中复制
  10. Linux - 搭建ftp服务器
  11. 读书笔记-《20世纪最伟大的心理学实验》读后感1
  12. VHDL——含异步清零和同步使能的加法计数器源程序
  13. 计算机网络 FDM TDM
  14. 怎么区分linux分区,Linux硬盘如何区分
  15. 尚硅谷_佟刚_SpringMVC_工程实例与代码(自己敲的)
  16. 单片机设计一个十字路口交通灯模拟控制系统(51单片机实训)
  17. python爬虫:批量抓取代理ip,进行验证,抓取豆瓣网站影视信息
  18. STM32H743Nucleo ADC使用DMA配置无法读取数据的问题及解决
  19. 网络不再是“口水歌”的天下 巨一清《一朝芳草碧连天》网络受宠
  20. Windows Linux 实用技巧

热门文章

  1. 2022.3.9号 达摩院前端一面
  2. Masonry--“瀑布流” 布局(图片较多,推荐在WIFI下查看)
  3. 读书笔记-人月神话12
  4. zoj3716Ribbon Gymnastics
  5. VS快捷键大全(超详细)
  6. 有自闭症,怎么和周围人相处?
  7. Bitmap recycle() 源码解析
  8. java8 time工具_java8 Date/Time API 新的日期处理工具
  9. 中国SSD产业突围有多难?除了技术“瓶颈”还有哪里挑战?
  10. 四川2020年一级计算机报名,2020年9月四川一级计算机报名流程丨报名入口