三人表决电路

  • 任务描述
  • 相关知识
    • 逻辑原理
    • 三人表决器真值表
  • 编程要求
  • 源代码

任务描述

根据所学的组合逻辑及数字电路的知识完成三人表决电路的设计,实现少数服从多数的表决规则,根据逻辑真值表和逻辑表达式完成表决功能。
运用Verilog HDL进行设计,完善三人表决电路的功能描述风格代码,具备组合逻辑电路的设计仿真和测试的能力。

相关知识

逻辑原理

三人表决电路中, 当表决某个提案时,多数人同意,则提案通过;同时有一个人具有否决权。若全票否决,也给出显示。
设输入为 A、 B、 C,且 A 具有否决权。同意用1 表示,不同意用 0 表示;输出 X 为 1 时表示提案通过; Y 为 1 时表示提案全票否决。 则三人表决电路的真值表如下表所示。

三人表决器真值表

编程要求

根据真值表,编写的程序要能根据不同的输入完成三人表决功能。

源代码

测试平台:EduCoder

module JG3(ABC,X,Y);input [2:0] ABC;output X, Y;reg X, Y;always@(ABC)// 请在下面添加代码,实现满足三人表决器真值表;/********** Begin *********/case(ABC)//3'b000:begin X<=1'b0;Y<=1'B1;end3'b000:begin X=1'b0;Y=1'b1;end3'b001:begin X=1'b0;Y=1'b0;end3'b010:begin X=1'b0;Y=1'b0;end3'b011:begin X=1'b0;Y=1'b0;end3'b100:begin X=1'b0;Y=1'b0;end3'b101:begin X=1'b1;Y=1'b0;end3'b110:begin X=1'b1;Y=1'b0;end3'b111:begin X=1'b1;Y=1'b0;enddefault:begin X=1'b1;Y=1'b0;endendcase/********** End *********/
endmodule

觉得有帮助的可以点个赞再走哦!!

三人表决电路——Verilog HDL语言相关推荐

  1. 【数字逻辑基础】三人表决电路及四舍五入判别电路实验

    实验内容 ❑ 了解元件工具箱中常用的器件的调用.参数选择. ❑ 调用各类仿真仪表,掌握各类仿真仪表控制面板的功能. ❑ 完成四舍五入判别电路(其输入为8421BCD码,要求当输大于或等于5时,判别电路 ...

  2. 用74LS153D画出三人表决电路

    灯泡PROBE 电源VCC 地线GROUND 开关SPDT

  3. vivado三人表决仿真

    概述 下面以三人表决电路的verilog仿真来了解一下vivado软件的使用. 编写源文件 首先可以在开始的界面通过create new project来新建工程,也可以通过file-->pro ...

  4. Verilog HDL 语言基础语法

    模块的结构 (1)Verilog的基本设计单元是"模块"(block). (2)一个模块由两部分组成,一部分描述接口,另一部分描述逻辑功能. (3)每个Verilog程序包括4个主 ...

  5. Verilog HDL 语言基础

    目录 前言 一.Verilog HDL模块基本结构 1.模块声明&端口定义&数据类型声明 二.数据类型 1.信号状态 2.整数 2.1.标准形式 2.2.补充 3.实数 4.字符串 三 ...

  6. 有限状态机设计实例之空调控制器(Verilog HDL语言描述)(仿真与综合)(附用Edraw(亿图)画状态转移图)

    目录 前言 空调控制器 简介 状态转移图如下: Verilog HDL语言描述 测试文件 仿真图 ISE综合 RTL Schematic Technology Schematic 前言 关于工具的使用 ...

  7. 模24的8421BCD码计数器(Verilog HDL语言描述)(仿真与综合)

    目录 前言 原理 Verilog HDL程序设计 测试代码 仿真波形图 ISE综合后 RTL Schematic Technology Schematic 前言 本博文用Verilog HDL语言描述 ...

  8. 级联模60计数器(Verilog HDL语言描述)(仿真与综合)

    目录 前言 模60计数器 Verilog HDL语言描述 测试文件 仿真波形 RTL Schematic Technology Schematic 前言 看这篇文章前,推荐先看看模10计数器和模6计数 ...

  9. 模6计数器以及模10计数器(Verilog HDL语言设计)(Modelsim仿真与ISE综合)

    目录 前言 模6计数器 Verilog HDL语言描述 测试文件 仿真电路图 RTL Schematic Technology Schematic 模10计数器 Verilog HDL语言描述 测试文 ...

最新文章

  1. HarmonyOS之深入解析线程间的通信
  2. 使用MAT工具分析内存溢出
  3. C++基础18-抽象类-电脑组装练习
  4. 用于CPU性能SQL Server监视工具
  5. 彻底理解Python切片
  6. AI人才平均月薪3万,最赚钱岗位出炉;上海人才吸引力跌至第四
  7. hibernate中多对多关系映射时的xml文件
  8. PaddlePaddle Transformer encoder 源码解析 v1.7
  9. phpzend框架_PHP框架Zend
  10. 【dp-背包】背包问题九讲
  11. matlab 二维矩形函数,rect矩形函数 matlab中怎样编写矩形函数
  12. 普歌-nuxt.js基础
  13. 主机ping虚拟机 TTL传输中过期的解决办法
  14. 腹有诗书气自华——记环宇通软CEO骆永华 1
  15. 项目在服务器的绝对路径,java获得项目绝对路径
  16. java图片去掉文字_java解出图片中的文字
  17. Android 记事本
  18. 一键免费部署看板管理应用——Wekan
  19. java 接口重写_接口与继承系列教材 (三)- Java 重写方法
  20. 什么是B端产品和C端产品?

热门文章

  1. oracle 认证考试信息 ocp
  2. java计算机毕业设计基于安卓Android的人在旅途旅行出行APP(源码+系统+mysql数据库+Lw文档)
  3. JAVA简单编写幸运抽奖
  4. r语言 tunerf函数_R语言 | 一网打尽高质量统计分析与机器学习包
  5. 查看锐捷poe交换机供电状态_锐捷 RG-S2910-24GT4SFP-UP-H 24个电口支持PoE和PoE+供电交换机...
  6. 事件研究法——stata实现并购的超额回报率计算
  7. 基于java大学生创业众筹系统计算机毕业设计源码+系统+lw文档+mysql数据库+调试部署
  8. python学习dya4
  9. docker container(容器)的使用方法
  10. python宿舍管理系統毕业设计源码231642