查找表LUT

本质上是RAM,一个6输入的LUT中包括6为地址线的64*1的RAM,6输入的LUT有64中输出结果,将64中结果存储下来,可以根据不同的地址输入查找处相应输出结果。

LUT实现6输入与门的事例如下:

地址线有64种组合,进行寻址,并存储数据。

Verilog HDL的抽象级别

指同一个物理电路可以在不同层次上用硬件描述语言描述。

①系统级:实现设计模块外部特性(行为级)

②算法级:实现算法运行模型(行为级)

③RTL级:描述数据在寄存器之间的流动、处理、控制(数据流描述方式)

④门级:逻辑门之间的连接(结构化描述)

⑤开关级:描述器件中三极管和存储节点之间的模型(结构化描述)

综合使用时是混合级

1、结构化描述方式

又承元件例化,直接调用verilog的基本门级元件的方法叫门级结构描述;调用定义的module的方法叫模块结构描述。

分别有and-与、nand-与非、nor-或非、xor-异或、xnor-同或、not-非、buf-缓冲器、bufif0-低电平使能的三态缓冲器、bufif1-高电平使能的三态缓冲器、notif0-低电平使能的三态门反相器。

可以直接调用。

用结构化描述三人多数表决器(利用了卡诺图化简)

module dsbjq_structure(input a,input b,input c,output f);//上述也可以写为module dsbjq_structure(a,b,c,d);input a;input b;input c;output f;
wire ab,bc,ac;//内部信号and U1(ab,a,b);//调用与门,a,b为输入信号,ab为输出信号,U1为例化名可认为是元件名and U2(bc,b,c);and U3(ac,a,c)or U4(f,ab,bc,ac);//fab+bc+ac
endmodule

2、数据流方式

//前面定义不变

assign f=a&b|a&c|b&c;

3、行为级描述方式(抽象级别最高,概括性最强)

module dsbjq_structure(input a,input b,input c,output reg f//定义为reg类型的);always@(a,b,c)//也可以写成always@(*)表示任意输入改变便改变begincase ({a,b,c})//位拼接abc变成3位二进制信号3'b000:f=1'b0;    3'b001:f=1'b0;3'b010:f=1'b0;3'b011:f=1'b1;     //两个人满足则输出f为1,像列真值表一样,无需去计算……3'b111:f=1'b1;  default:f=1'bx;//输出不确定endcaseendendmodule//上述就是行为描述

4、混合描述

主要是行为描述,再穿插数据流和结构化描述

module dsbjq_structure(input a,input b,input c,output f);reg m1,m2,m3;assign f=m1|m2|m3;//数据流描述always@(a or b or c)//行为描述beginm1 = a&b;m2 = b&c;m3 = a&c;endendmodule

Verilog多种方式实现三人表决器相关推荐

  1. HNU-电子测试平台与工具-三人表决器

    该报告最后的得分为100分/100分. 作者认为可以将它发出来,为有需要的同学,特别是该大学该门科目的后继者提供帮助与解答. 如果喜欢可以点个赞哦,感谢. 三人表决器实验报告 班级:计科210X 学号 ...

  2. HNU-电子测试平台与工具-三人表决器实验报告

    该报告最后的得分为100分/100分. 作者认为可以将它发出来,为有需要的同学,特别是该大学该门科目的后继者提供帮助与解答. 如果喜欢可以点个赞哦,感谢. 三人表决器实验报告 班级:计XXXXX 学号 ...

  3. 三人表决器_数电小实验之三人表决器

    数电小实验 20级会员们的模电实验已经结束了,他们的培训计划已经从模电实验进行到了数电实验.他们将学习到一个新的知识--逻辑电路. 接下来让我们一起深入了解本次任务吧! 实验:三人表决器的设计和搭建 ...

  4. 三人表决器与八人抢答器实验

    三人表决器与八人抢答器实验 三人表决器实验报告 一.实验目的 1.辨识数字IC功能说明. 2.测试数字集成门电路,掌握输出故障排除.使用注意事项. 3.掌握逻辑函数搭建三人表决器. 二.实验资源 1. ...

  5. 用C++写一个三人表决器

    昨天离散数学老师布置了一道编程题: 设计并编程实现一个3人表决开关电路.设三个裁判分别为A.B.C,有两个及以上裁判同意,则通过表决:否则,未通过表决.同意与否通过键盘输入,可以用0.1或T.F表示. ...

  6. HNU工训中心: 三人表决器及八人抢答器实验报告

    工训中心的牛马实验 三人表决器: 实验目的 1) 辨识数字IC功能说明. 2) 测试数字集成门电路,掌握输出故障排除.使用注意事项. 3) 掌握逻辑函数搭建三人表决器. 2.实验资源 HBE硬件基础电 ...

  7. 51单片机 独立按键控制LED 实现的三人表决器

    有图可以看出,当(K1,K2,K3,K4)按下时(P31,P30P32,P33)短接到地,从高电位被拉到地在数字电路中高电位被看作(1)底电位被看作(0) 可以看出当P2为0时LED上才有电位差,才会 ...

  8. 带优先级的三人表决器

    1 设计要求:设计一个三人表决器,有3个裁判,其中有1位主裁判,2位副裁判.主裁判有否决权,即主裁判和其中一位副裁判投赞同票,决议方可通过,否则不通过. 注意:如果有了其中一人投赞同票就可以单票通过那 ...

  9. 通过74ls138构成的三人表决器

    三人表决器的原理为三人中至少两人同意则结果为同意,及输入信号至少两个1则结果为1.由此可推出表达式 通过74ls138的功能表可得出当G2A和G2B都接地,G1为高电平时工作且以反码形式输出.由表达式 ...

最新文章

  1. Bootstrap4 更新笔记
  2. flutter打开android界面,在已有Android项目中使用Flutter
  3. Android开发--SharedPreferences初步介绍
  4. docker系列之file基本操作
  5. svm的错误理解之一
  6. 《C++ Primer》7.4节练习
  7. 母函数 入门 + 模板
  8. 怎样对待一个延期的项目
  9. ESP32烧录bin,乐鑫下载工具使用flash_download_tool
  10. python怎么读文件-python怎么读json文件
  11. python函数参数值_python 函数参数
  12. Android 内存监测工具 DDMS -- Heap
  13. oracle客户端查看版本号,Oracle 版本查看及版本号说明
  14. linux7关闭开机自启,redhat7 设置开机自启
  15. matlab仿真零中频接收机技术,经典又实用的零中频接收机的技术解决方案
  16. 大觅网Springcloud项目实战开发(持续更新中)
  17. 使用BetterTouchTool自定义你的touchBar
  18. 谷歌中一些十分有趣的特效现象
  19. Java移位运算符详解实例——左移位运算符、带符号的右移位运算符
  20. JUC基础(一): 什么是JUC(JUC概述)

热门文章

  1. [北京]华兴资本招聘初级全栈工程师 (junior full stack developer)
  2. 一文读懂银行数据治理体系的目标和路径
  3. 动手深度学习笔记(四十五)8.1. 序列模型
  4. stem32的简单应用
  5. PreScan快速入门到精通第四十二讲点云传感器
  6. linux下安装工具——yum
  7. 绿盟远程安全评估系统(RSAS)使用步骤
  8. 金蝶EAS BOS合并报表取数公式(二次开发取数公式)在调整分录模板和抵消分录模板显示
  9. 许昌学院计算机专业是几本,许昌学院是几本
  10. .NET MVC:实现导出Excel表格