library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
--------------------------------------------------------------------
entity counter isport( clk,ret,en    :  in   std_logic;   --定义时钟、异步复位、同步使能信号cq            :  out  std_logic_vector(3 downto 0);    --计数结果cout          :  out  std_logic   --进位信号);
end counter;
--------------------------------------------------------------------
architecture behave of counter isbeginprocess(clk,ret,en) variable  cqi :  std_logic_vector(3 downto 0);   beginif  ret='0' then  cqi:= "0000";-- 计数器异步复位elsif  clk'event  and  clk='1' then--检测时钟上升沿if  en='1' then--检测是否允许计数(同步使能)if  cqi<15  then cqi:=cqi+1;else  cqi:= "0000";end if;end  if;end if;if  cqi>9  then  cout<='1';--输出进位信号else    cout<='0';end if;cq<=cqi;--计数值向端口输出end  process;end  behave;

VHDL——含异步清零和同步使能的加法计数器源程序相关推荐

  1. 基于VHDL的层次化设计:异步清零和同步使能4位十六进制加法计数器和七段显示译码器的元件例化实现

    原文:http://blog.csdn.net/Dr_JIA/article/details/45790579 方案一 (本实现方案只编写了一个vhdl文件,计数器和译码器的vhdl描述写在了一个文件 ...

  2. 异步清零和同步置数/清零的区别

    同步,异步是对于时钟而言的. 同步指的是,状态的变化需要等待时钟有效沿来触发,所有动作同时跟随这个时钟变化,而异步时,状态变化不依赖与时钟. 异步清零说的是,你需要对一个计数器在满足某种条件时想要他归 ...

  3. 同步电路出现异步清零可以吗_异步清零和同步清零置数区别

    [相关知识]:中规模集成计数器的功能表阅读.反馈清零法和反馈置数法的应用等.本文引用地址:http://www.eepw.com.cn/article/201610/311031.htm [解题方法] ...

  4. 异步清零和同步清零的区别

    "异步"输入信号和时钟信号无关,是指输入信号变为有效状态,器件的状态就改变:"同步"输入信号和时钟信号有关,实际上输入信号和时钟信号进行了与运算或者与非运算,输 ...

  5. 使用D触发器完成带有异步清零clrn和同步使能wen的8位寄存器

    要求: 看到这个题,首先我们应该先考虑D触发器的实现: `timescale 1ns / 1psmodule dffe(input clk,input clrn,wen,input d,output ...

  6. 【verilog_8】: 设计60进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位

    1.设计60进制计数器,带异步复位.同步使能.同步装载.同步清零.同步置位 法一 author : Mr.Mao e-mail : 2458682080@qq.commodule cnt60x (in ...

  7. 设计60进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位

    设计60进制计数器,带异步复位.同步使能.同步装载.同步清零.同步置位 Design a modulus 60 counter, with asynchronous reset, synchronou ...

  8. 【verilog_9】: 设计16位二进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位

    2.设计16位二进制计数器,带异步复位.同步使能.同步装载.同步清零.同步置位 法一 author : Mr.Mao e-mail : 2458682080@qq.commodule CNT_16B2 ...

  9. HDLBITS笔记23:触发器的基础练习(同步清零、异步清零、复位端、字节使能等)

    题目1: D触发器是一种电路,它存储位并定期更新,位于时钟信号的(通常)正边. D 触发器在使用时钟始终块时由逻辑合成器创建(请参见始终阻止2).D触发器是最简单的"组合逻辑后跟触发器的斑点 ...

最新文章

  1. java 分权分域什么意思_什么是分权分域管理?为什么要应用分权分域技术?
  2. 50个Android开发人员必备UI效果源码[转载]
  3. 自动发现_清华发布首个自动图学习框架,或有助于蛋白质建模和新药发现
  4. android studio 入门比较好的书籍
  5. 【python pandas excel操作】
  6. python京东商品_Python爬取京东的商品分类与链接
  7. ocx控件 postmessage消息会消失_APP控件之二——弹框
  8. iPhone 14屏幕细节曝光:LTPO OLED面板仍为Pro版专属
  9. OpenShift 4 - Knative教程 (4) 自动扩展和收缩
  10. 谷歌AI用“深度”学习来虚化背景,单摄手机可用,Jeff Dean表示优秀
  11. [转] 面试70问经典回答
  12. Python爬虫批量下载PDF文档
  13. SPSS聚类分析——一个案例演示聚类分析全过程
  14. UVA 10098 Generating Fast
  15. 零基础转行到IT,怎么选择适合的职业?
  16. QT(1)- QString
  17. 12306登录python_基于Python3的12306登录实现
  18. ICCV2021: 淘系素材制备平台中的自研交互式分割技术(含试用demo)
  19. XGBoost的原理、公式推导
  20. 关于人工智能,你知道怎么学吗

热门文章

  1. Kali linux渗透测试系列————29、Kali linux 渗透攻击之无线网络攻击
  2. 作为一个iOS开发者,应该继续钻研SwiftUI还是尝试接触Flutter了呢?
  3. 关于在word中使用分栏符 出现左边没有填完就开始了右边 然后再是左边 然后再右边的解决
  4. Linux误删数据恢复实验
  5. php is numeric用法,PHP使用 is_numeric的实例解析
  6. CEILING_2_POWER
  7. python根据关键字爬取微博_Python 超简单爬取微博热搜榜数据
  8. 获取电脑软件的路径(C#)
  9. 深圳中学高考2021成绩查询,2020深圳高中高考数据对比,明年考多少分能上好高中?...
  10. 一个屌丝程序员的青春(三三)