原理介绍

The ALTSHIFT_TAPS IP core supports single-bit and multiple-bit data shifting at one
clock cycle, depending on the width of the shiftin and shiftout ports. For example,
if the shiftin and shiftout ports are single-bit data, only one bit is shifted per clock
cycle. If the shiftin and shiftout ports are multiple-bit data, such as one-word data
(8-bit), the whole word is shifted per clock cycle.

Shift_ram能够通过shiftin设置移位输出的位宽,TAP_DISTANCE 设置每行数据单元个数;

NUMBER_OF_TAPS设置抽头的数量;

TAP_DISTANCE = 3;表征每行有3个数据单元;

NUMBER_OF_TAPS = 4.;表征有4列,即抽头的数量;

将每一行的抽头输出就形成了taps,shiftout输出的是taps的高位数据单元;

实例

调用IP

测试数据产生模块

Modelsim仿真

仿真结果与datasheet的实例说明一致;

大西瓜FPGA-->https://daxiguafpga.taobao.com

博客资料、代码、图片、文字等属大西瓜FPGA所有,切勿用于商业! 若引用资料、代码、图片、文字等等请注明出处,谢谢!

转载于:https://www.cnblogs.com/logic3/p/6284870.html

Quartus II 与ModelSim-SE联合仿真Shift_ram相关推荐

  1. Quartus ii和Modelsim SE联合仿真的问题总结

    ** 1. 如何导入quartus ii库 ** 1.设置工作路径 打开modelsim安装目录(我的modelsim安装在d:/modelsim目录下),新建文件夹altera.后面的步骤将在该目录 ...

  2. Quartus 与modelsim se联合仿真

    ** 1.首先下载并安装两个软件 ** modelsim se是从下面网址下载并破解的(未使用altera-modelsim,这两个是不一样的) http://www.xue51.com/ 至于qua ...

  3. Quartus II与ModelSim联合仿真

    Quartus II与ModelSim联合仿真 目录 Quartus II与ModelSim联合仿真 一.创建新工程 二.创建新文件 三.配置ModelSim的路径 四.关联Test Bench文件 ...

  4. Quartus同Modelsim的联合仿真

    我这里用到的Quartus是18.1版本的,Modelsim是自带的(注:我这里在设置Modelsim是Modelsim-Altera). 1.我们事先在桌面创建一个命名为test的文件,用来存放qu ...

  5. 用Modelsim SE 直接仿真 Altera(Intel PSG) IP核 需要注意的问题

    如果我们直接用Modelsim SE仿真 Altera IP核,首先会进入Quartus II目录下找到IP核对应的仿真库源文件,然后在Modelsim SE中进行编译,添加到Modelsim SE的 ...

  6. Quartus II与Modelsim软件安装教程

    Quartus II与Modelsim软件安装教程 一.Quartus II软件安装 1.Quartus II安装 2.器件安装 3.Quartus 破解 4.USB Blaster 驱动安装 二.M ...

  7. 通过文件读写方式实现Matlab和Modelsim的联合仿真

    虽然 Modelsim 的功能非常强大,仿真的波形可以以多种形式进行显示,但是当涉及到数字信号处理的算法的仿真验证的时候,则显得有点不足.而进行数字信号处理是 Matlab 的强项,不但有大量的关于数 ...

  8. vivado与modelsim的联合仿真(二)

           最近在做Zynq的项目,曾经尝试使用ISE+PlanAhead+XPS+SDK组合和Vivado+SDK来搭建工程,使用中发现前者及其不方便后者有诸多不稳定.近期得闻Xilinx退出Vi ...

  9. Quartus II调用modelsim无缝仿真

    本篇文章为转载,写的不错,最近在学modelsim仿真,网上的教程很乱,把自己认为不错的整理贴出来,后面有机会会写个详细点的. Quartus 中调用modelsim的流程 1. 设定仿真工具  as ...

  10. Quartus II与 ModelSim功能仿真与后仿真扫盲(转)

    时间:2007-04-20   作者:yangyh80@21cn.com 本文主要描述了如何在QUARTUS II 中输入程序文件,生成网表及标准延时文件,然后通过MODELSIM 进行功能仿真与后仿 ...

最新文章

  1. layer.open嵌入地址链接
  2. php创蓝253四要素认证_PHP下基于创蓝253接口的短信发送
  3. 张小龙内部分享:一个产品只能有一个主线功能
  4. php怎么输出以前的时间,php输出指定时间以前时间格式的方法_PHP
  5. 2021研发效能实践案例征集大赛
  6. .NET CoreCLR开发人员指南(上)
  7. linux expr格式,计算2-expr命令举例
  8. 互联网优秀架构师必读书单V2.0
  9. 百度云BaaS体系揭秘,突破共识机制、单机计算和串行处理三大瓶颈
  10. angular4-http
  11. kafka消息堆积原因解析
  12. 申请软著源程序量一般填多少
  13. 这些曾盛极一时的经典软件,现在再看,还是记忆犹新
  14. 怎么使用手机号申请邮箱,注册移动手机邮箱有哪些步骤?
  15. python运行代码无反应_linux执行python命令后没有反应,不打印日志信息
  16. 移动端pc端兼容element-ui
  17. Dom(二十一) 拖拽
  18. PHP获取某一天前后任意时间
  19. wing带你玩转自定义view系列(3)模仿微信下拉眼睛
  20. R SMOTE 报错 length of 'dimnames' [2] not equal to array extent

热门文章

  1. php hmacsha1计算,PHP HMAC_SHA1 算法 生成算法签名
  2. vscode 结束_21 个VSCode 快捷键,让代码更快,更有趣
  3. 时间格式化,常用时间,格式
  4. Nodejs框架+uniapp前端微商个人相册多端小程序源码
  5. 一款非常好看的雷姆背景的时间单页(附雷姆图片)
  6. ECSHOP 如何去掉配送区域
  7. Magento教程 6:商店设定与参数
  8. jquery 鼠标移动 div内容上下或左右滚动
  9. Shell 编程:Bash空格的那点事
  10. Magento 产品推荐 extension Featured products 2.0 – revamped!