最近在做Zynq的项目,曾经尝试使用ISE+PlanAhead+XPS+SDK组合和Vivado+SDK来搭建工程,使用中发现前者及其不方便后者有诸多不稳定。近期得闻Xilinx退出Vivado2013.4,就迫不及待的想试用一把,看之前的bug是否有修复,稳定性有没有变好,就从和ModelSim的联合仿真做起吧。
       其实Vivado IDE本身具有强大的仿真工具vivado simulator,支持功能仿真和后仿,但是那个特别耗电脑资源,笔记本基本上是跑不动的,如果电脑给力用起来还是很顺手的。它还支持与Cadence、Modelsim等第三方工具协同联合仿真(详见UG900),因为习惯原因,故选用ModelSim试一试。
       1. ModelSim版本要求
        Vivado2013.4只支持Modelsim10.2A及其以上版本,低版本不支持。
       2. 编译仿真库
       使用TCL脚本:compile_simlib(详细内容见UG835),一般而言,以下内容就以足够
        compile_simlib -directory <library_output_directory>  -simulator <agr>  
                                -simulator_exec_path<sim_install_location>
        例如:a)仿真库编译到D:/xilinx_sim_lib;
                   b)仿真工具使用Modelsim;
                   c)ModelSim安装在C:/modeltech64_10.2/win64;
        那么完整的tcl命令就是:
         compile_simlib -directory D:/xilinx_sim_lib  -simulator modelsim  
         -simulator_exec_path  C:/modeltech64_10.2/win64
        执行该命令需要耐心等待,这个过程视电脑能力好坏可能需要30~60min,直到窗口提示compile_simlib tcl task –end才算完成,如图所示。
      
图1  库文件编译完成提示
         打开D:/xilinx_sim_lib文件夹可以发现,所有的库文件和Modelsim.ini文件均已生成。
        3. 设置关联
         打开Vivado IDE(start_gui),在在tools -> vivado options -> general中设置第三方工具的路径,如图2所示:
          

图2  设置ModelSim的安装路径

4.在工程中对仿真工具进行配置

在工程配置项simulation->simulation settings中将target simulator选择为ModelSim,Compiled library Location指向刚才编译的库路径,其他设置不详细介绍,如图3所示。

图3  仿真工具设置

OK,如果中途不出什么差错,到这个步骤位置就可以顺利完成和ModelSim的联合仿真了。

vivado与modelsim的联合仿真(二)相关推荐

  1. vivado与modelsim的联合仿真(一)

    vivado软件中也自带仿真工具,但用了几天之后感觉仿真速度有点慢,至少比modelsim慢挺多的.而modelsim是我比较熟悉的一款仿真软件,固然选它作为设计功能的验证.为了将vivado和mod ...

  2. 通过文件读写方式实现Matlab和Modelsim的联合仿真

    虽然 Modelsim 的功能非常强大,仿真的波形可以以多种形式进行显示,但是当涉及到数字信号处理的算法的仿真验证的时候,则显得有点不足.而进行数字信号处理是 Matlab 的强项,不但有大量的关于数 ...

  3. Quartus同Modelsim的联合仿真

    我这里用到的Quartus是18.1版本的,Modelsim是自带的(注:我这里在设置Modelsim是Modelsim-Altera). 1.我们事先在桌面创建一个命名为test的文件,用来存放qu ...

  4. hls和modelsim进行联合仿真

    在solution下点击Run C/RTL Cosimulation 选择仿真器为Modelsim,Dump Trace选择all,选择编译库地址为之前在vivado中生成的器件地址,没生成的可以重新 ...

  5. Quartus ii和Modelsim SE联合仿真的问题总结

    ** 1. 如何导入quartus ii库 ** 1.设置工作路径 打开modelsim安装目录(我的modelsim安装在d:/modelsim目录下),新建文件夹altera.后面的步骤将在该目录 ...

  6. vivado 2018与modelsim的联合仿真

    1.vivado中设置modelsim(即第三方仿真工具)的安装路径. "Tools"-->"Settings..." 选择Tool Settings下& ...

  7. cadence安装完怎么打开_Linux 环境下Vivado与Cadence仿真工具联合仿真环境的搭建

    在之前本公众号写过两篇关于工具更新对仿真调试提高效率的文章,[干货]推荐一款FPGA仿真调试鸟枪换炮的工具!本文就介绍其中一种仿真环境的搭建过程.后续还有VCS+Verdi环境的安装介绍,敬请期待. ...

  8. Vivado关联Modelsim行为级仿真出错 [USF-ModelSim-70] 'compile' step failed with error(s) while executing

    问题发现 昨天关联仿真,一切正常,然后晚上电脑没关,直接盖上休眠,第二天发现报错 [USF-ModelSim-70] 'compile' step failed with error(s) while ...

  9. Quartus 与modelsim se联合仿真

    ** 1.首先下载并安装两个软件 ** modelsim se是从下面网址下载并破解的(未使用altera-modelsim,这两个是不一样的) http://www.xue51.com/ 至于qua ...

最新文章

  1. Kaggle 数据挖掘比赛经验分享 (转载)
  2. java arrays.aslist()_Java中Arrays.asList()方法详解及实例
  3. C语言学习之输入一行字符,分别统计出其中英文字母、空格、数字和其他字符的个数。
  4. 等价关系和等价类_确定Java等价性的新时代?
  5. java 单例方法_Java-单例模式 - 惊觉
  6. 中国的电商发展迅速,有了取代实体的趋势
  7. 读书笔记_中国期货市场量化交易(李尉)03
  8. 马云自评英语水平;支付宝推刷脸支付;苹果研发基带芯片 | 极客头条
  9. noip模拟9 达哥随单题
  10. mysql id 主键 外键_mysql主键 外键
  11. 工程数学 傅里叶变换 狄拉克函数
  12. 监控 - Prometheus监控
  13. mongdb 鉴权失败,添加用户数据失败
  14. xml中使用 报错:XML Parser Error on line 35: 在实体引用中, 实体名称必须紧跟在 ‘‘ 后面。
  15. Java流程控制01-用户交互Scanner
  16. Python爬虫项目整理
  17. html怎么给图片加页码,在Word里,怎样让页码显示在插入的图片上?
  18. 【CF375C】Circling Round Treasures
  19. React Native 音频录制例子来解惑入门,真的已经讲烂了
  20. Oracle INSERT ALL 语句介绍

热门文章

  1. 2021年春季学期-信号与系统-第十三次作业参考答案-第二小题
  2. 如何搭建VUE开发环境
  3. ad中电容用什么封装_干货 | 为什么单相电机要用电容,三相电机不需要电容?...
  4. java如何监控cpu耗时_超级干货:3个性能监控和优化命令讲解
  5. python出现套接字创建不成功_python套接字连接在Mac上被拒绝但在Windows
  6. xilinxaxi ethernet 硬件时间戳告警
  7. C 类INI文本,可以添加注释(初步实现,可以添加更加复杂的通用化设计)
  8. redis集群扩容和缩容_redis集群cluster搭建,扩容缩容
  9. php.zip安装教程,php如何安装zip模块?(方法介绍)
  10. python发邮件实例_python 发邮件实例