Quartus II与Modelsim软件安装教程

  • 一、Quartus II软件安装
    • 1、Quartus II安装
    • 2、器件安装
    • 3、Quartus 破解
    • 4、USB Blaster 驱动安装
  • 二、Modelsim软件安装
    • 1、modelsim安装
    • 2、modelsim注册
  • 三、参考资料

一、Quartus II软件安装

本节主要讲述Quartus II13.1软件的安装使用,对于现在FPGA的EDA工具使用,有很多教程,这里可以给大家作为一个参考文档。

1、Quartus II安装

1.双击运行"QuartusSetup-13.1.0.162.exe"文件,进入安装导向界面

2.进入安装导向界面

3.选择同意“I accept the agreement”,再点击“next”

4.自定义软件安装路径

Quartus 软件需要大约 6G 的安装空间,大家可根据电脑磁盘空间的大小来选择相应的路径,注意安装路径中不能出现中文、空格以及特殊字符等

5.保持默认全部勾选的页面,点击【Next>】

6.安装路径以及软件安装占用空间大小

7.安装进程

8.安装完成,点击【finish】

2、器件安装

在【Windows】最近添加,点击如示,进行器件安装
进入器件安装导向界面,点击【Next】

选择器件所在文件夹,进行器件安装


选中器件,点击【next】,开始安装

安装路径以及器件占用空间

安装进度

安装完成,点击【finish】

3、Quartus 破解

点击桌面Quartus的快捷方式,按照图示选择,点击【OK】

进入图示界面。选择一个ID号,再将license中ID替换

按照图示替换

在编辑中找到替换,选择全部替换


定位到ID号替换的license文件路径

4、USB Blaster 驱动安装

USB Blaster 是 Altera FPGA 的程序下载器,通过计算机的 USB 接口对 Altera 的 FPGA 和配置芯片进行编程、调试以及下载等操作。电脑必须在安装驱动后,USB Blaster 才能正常工作.

将 USB 线一端连接下载器,另一段插到电脑的 USB 接口上面。然后打开电脑的设备管理器,电脑的设备管理器打开方法为:右键点击桌面的【计算机】→【管理】→【设备管理器】或者在搜索窗口搜索【设备管理器】

可以在其它设备下面看到 USB-Blaster 设备,前面有个黄色的感叹号,说明电脑已经识别到下载器,但设备的驱动没有安装。右击选中【USB-Blaster】,并选择【更新驱动程序软件§…】

点击第二个选项【浏览计算机以查找驱动程序软件】

点击【浏览】按钮选择驱动程序的路径为 Quartus 软件安装目录D:\altera\13.1\qurtus\drivers\usb-blaster,点击【下一步】

在弹出的安全提示框里,选中“始终信任…”前面的方框,然后点击【安装】按钮开始安装驱动程序

Altera US-Blaster 驱动更新完成,然后直接点击【关闭】即可

二、Modelsim软件安装

Mentor 公司的 ModelSim 是工业界最优秀的语言仿真器,它支持Windows 和 Linux 系统,是单一内核支持 VHDL 和 Verilog 混合仿真的仿真器。它采用直接优化的编译技术、单一内核仿真,不仅编译仿真速度业界最快、编译的代码与平台无关,而且便于保护 IP 核。它还提供了最友好的调试环境,具有个性化的图形界面和用户接口,为用户加快调试提供强有力的手段,它是 FPGA/ASIC 设计的首选仿真软件。

1、modelsim安装

双击运行“modelsim-win64-10.4-se.exe”文件,进入软件安装导向界面


选择安装路径,点击【next】

同意

安装进度

是否创建桌面快捷方式

是否将 Modelsim 可执行文件放入 Path 变量,选择Yes时可以从 DOS 提示符执行Modelsim,这里我们选择“Yes”

此界面,选择【No】

安装完成

2、modelsim注册

在软件安装目录中找到mgls64.dll文件

右击文件,选择属性,取消只读属性,点击【确定】

将MentorKG.exe和patch_dll.bat一起拷贝到modelsim安装目录的win32或win64下

运行patch_dll.bat,会生成license文件,将license文件放到任意目录下【另存为】

生成文件如下

设置环境变量名MGLS_LICENSE_FILE
变量值为license放置的路径


至此,注册完成。

三、参考资料

对于quartus以及modelsim软件的使用,会在后续的项目中进行演示讲解。

quartus以及modelsim软件安装包【提取码【7jd7】】
Modelsim 安装步骤详解
Quartus II 13.1 安装步骤详解

Quartus II与Modelsim软件安装教程相关推荐

  1. Quartus ii和Modelsim SE联合仿真的问题总结

    ** 1. 如何导入quartus ii库 ** 1.设置工作路径 打开modelsim安装目录(我的modelsim安装在d:/modelsim目录下),新建文件夹altera.后面的步骤将在该目录 ...

  2. 软件安装教程-Vivado2018.3/ISE14.7/Modelsim10.5/Keil5/AD18/Cadence17.2/CAD2016

    硬件工程师软件安装教程 1.Vivado2018.3安装教程 本文的主要内容是介绍 Vivado 2018.3 版本(提取码:ebdx)的安装步骤及其 license(提取码: 6xkh) 的获取与加 ...

  3. Quartus II 9.1的安装与破解

    Quartus II 9.1的下载地址:ftp://ftp.altera.com/outgoing/release/91_quartus_windows.exe 官网地址:http://www.alt ...

  4. ad域推送软件_Python3.6.4 软件安装教程

    Python-3.6.4 软件安装教程 01 Python-3.6.4 软件安装教程 软件介绍 Python-3.6.4(32/64)位 Python的设计目标之一是让代码具备高度的可阅读性.它设计时 ...

  5. vb6 combo根据index显示_VB6.0软件安装教程及学习资源

    阿布分享君 ❤  abushare ① 简介 VB的中心思想就是要便于程序员使用,无论是新手或者专家.VB使用了可以简单建立应用程序的GUI系统,但是又可以开发相当复杂的程序.VB的程序是一种基于窗体 ...

  6. ad软件侵权律师函_Aspen Plus 9 软件安装教程

    Aspen Plus 9 软件安装教程 01 Aspen Plus 9 软件安装教程 软件介绍 Aspen 提供最新资产性能管理.工程.制造和供应链软件版本.更好地提高产能,提高利润,降低成本,提高能 ...

  7. ad软件one pin错误是啥意思_Unity3D 4.5 软件安装教程

    Unity3D 4.5 软件安装教程 01Unity3D 4.5软件介绍 [软件名称]:Unity3D 4.5 [安装环境]:Windows Unity3D 4.5是unity系列软件的版本,也是一款 ...

  8. python及pycharm2018软件安装教程

    python及pycharm2018软件安装教程 python 3.6.5及pycharm2018.1.1 Win版32/64位下载地址: https://pan.baidu.com/s/1KdECg ...

  9. 此加载项为此计算机的所有用户安装_MDI Jade 6.5软件安装教程

    软件下载 ▼ 关注微信公众号:贵州永航科技回复Jade即可获得软件安装包下载地址以及详细安装教程 更多软件安装教程可点击菜单栏获取 软件 介绍 MDI Jade是一款专门用于XRD分析的软件,XRD分 ...

最新文章

  1. 计算机设计思想 —— 代理(proxy)
  2. /dev/tcp 的简单应用
  3. python中不具备np_Python中np.random.multivariate_normal问题?
  4. BZOJ 3208: 花神的秒题计划Ⅰ
  5. linux学习(7)-压缩与解压缩
  6. java openssl dgst_(7) openssl dgst(生成和验证数字签名)
  7. 计算机辅助项目管理课程方案,天津大学计算机辅助管理研究生课程简介
  8. v8的垃圾回收机制(二)
  9. How to research,22页PPT
  10. oracle单行函数 之 字符函数
  11. 临近失业,如何拯救自己?
  12. 车牌自动识别在智能交通系统中的应用及前景分析
  13. oracle新建定时任务,Oracle 定时任务详解
  14. 代码实现 outerHTML
  15. 思科交换机路由器破解密码
  16. Steam游戏上线初期的总结与思考
  17. 【C语言】如何判断一个数字是否为素数(质数)?
  18. oracle 增加字段说明,oracle 增加字段
  19. 普通IO口红外线接收(不用外部中断)
  20. 随机生成中文姓名,手机号,邮编,住址(Java)

热门文章

  1. CheckStyle:Checks之标准Checks
  2. 解决Navicat连接linux下mysql数据库卡顿的问题
  3. AUTOCAD——修改坐标轴样式
  4. 全国电子设计大赛 物品清单分析2013年
  5. TensorRT网络推理c++实现
  6. 移动端excel导出_手机联系人竟可导出到Excel?教你要如何做
  7. raw文件与jpg文件的读取/转换/显示
  8. 【pytorch】WinError126解决方案
  9. Python从入门到转行
  10. What、Why、How?解读Webpack官方文档