Quartus II与ModelSim联合仿真

目录

  • Quartus II与ModelSim联合仿真
  • 一、创建新工程
  • 二、创建新文件
  • 三、配置ModelSim的路径
  • 四、关联Test Bench文件
  • 五、编译及运行
  • 附加

一、创建新工程

首先创建一个新的工程:
名字可以随意

一直点下一步,直到下图所示

分别选择

ModelSim-Altera(如果是ModelSim则选择Modelsim,如果不知道的话可以都试一下)

Verilog HDL

然后点击finish即可

二、创建新文件

点击File->New

选择verilog HDL File

在新出来的页面里面,复制下面的代码(也可以自行实现)

module test(input xor_in1,input xor_in2,output xor_out
);
assign xor_out = xor_in1 ^ xor_in2;
endmodule

ctrl + s保存(注意文件名一定要和module名相同)

再重复上述步骤,新建一个文件,粘入如下代码

`timescale 1ns/1nsmodule test_tb;
reg xor_in1, xor_in2;
wire out;
initial
beginxor_in1 <= 0;xor_in2 <= 1;
end
always #200 xor_in1 <= ~xor_in1;
always #800 xor_in2 <= ~xor_in2;
test test_tb(.xor_in1(xor_in1),.xor_in2(xor_in2),.xor_out(out));endmodule

ctrl + s保存(注意文件名)

三、配置ModelSim的路径

不知道路径的可以按win + s,输入modelsim,点击打开文件位置

右击属性即可看到路径

例如:D:\Altera\modelsim_ase\win32aloem
(注意有时候第一个子路径不为Altera时,可能会导致联合仿真的时候路径配置失败)

然后点击Tool->Options

然后点击EDA Tool Options,配置ModelSimModelSim-Altera的路径

四、关联Test Bench文件

点击Assignments->Settings

点击Simulation,配置为Verilog HDL,然后点击Test Benches
(再次提醒一下,如果不是ModelSim-Altera的话,修改为ModelSim)

点击new

然后在Test bench name,填入testbench的名字

以及在Top level module in test bench,填入testbench里面最高级模块的名称

Simultation Period可以选择不填,如果填的话建议可以选小点,不然波形容易加载不出来

然后点击下方的""选择刚才的test_tb.v并点击Add添加

注意要点Apply

五、编译及运行

然后点击Processing->Start Compilation

等待编译完成后,点击Tools->Run Simulation Tool->RTL Simulation

即可出来波形

右键点击波形区,选择Zoom Full即可显示所有波形

附加

也可以不用Test Bench文件可以用ModelSim自带的时钟设置功能当作激励源

右击输入变量,然后点击Clock

设置占空比,周期
(记得点OK)

同样,第二个输入变量设置为


如果要做修改的话,需要修改所有的变量的设置(记得点OK

然后,时间设置为100ps,点击箭头所指按钮即可看见波形

如图:


(最后感谢关先生教会的方法 ^ - ^)

Quartus II与ModelSim联合仿真相关推荐

  1. Quartus II调用modelsim无缝仿真

    本篇文章为转载,写的不错,最近在学modelsim仿真,网上的教程很乱,把自己认为不错的整理贴出来,后面有机会会写个详细点的. Quartus 中调用modelsim的流程 1. 设定仿真工具  as ...

  2. Quartus II与 ModelSim功能仿真与后仿真扫盲(转)

    时间:2007-04-20   作者:yangyh80@21cn.com 本文主要描述了如何在QUARTUS II 中输入程序文件,生成网表及标准延时文件,然后通过MODELSIM 进行功能仿真与后仿 ...

  3. Quartus II 与ModelSim-SE联合仿真Shift_ram

    原理介绍 The ALTSHIFT_TAPS IP core supports single-bit and multiple-bit data shifting at one clock cycle ...

  4. Quartus ii和Modelsim SE联合仿真的问题总结

    ** 1. 如何导入quartus ii库 ** 1.设置工作路径 打开modelsim安装目录(我的modelsim安装在d:/modelsim目录下),新建文件夹altera.后面的步骤将在该目录 ...

  5. Quartus与Modelsim联合仿真ROM IP时输出波形一直为零的问题以及ROM配置仿真教程

    本人近期在使用Quartus Prime与 ModelSim联合对ROM IP进行仿真时,遇到了一个问题,仿真输出波形一直为零.如下图,其中neur_W即为ROM的输出. 在反复确认本人代码没有问题后 ...

  6. 超详细 quartus 新建工程 及 quartus 和 modelsim 联合仿真 以及 modelsim 的简易教程

    文章目录 一.新建工程 1. 新建工程 2. 添加源文件以及ip核并编译 添加代码文件 添加IP核 编译 3. 自动生成testbench文件并添加路径到工程中 生成testbench文件 添加tes ...

  7. quartus和modelsim联合仿真详细教程

    利用quartus和modelsim联合仿真的功能,实现功能产生波形.详细步骤如下: 1.编辑verilog HDL语言 本次拟实现组合逻辑功能,其代码如下: 此为一组合逻辑电路,其原理图可在quar ...

  8. Quartus II与Modelsim软件安装教程

    Quartus II与Modelsim软件安装教程 一.Quartus II软件安装 1.Quartus II安装 2.器件安装 3.Quartus 破解 4.USB Blaster 驱动安装 二.M ...

  9. FPGA学习之路—Vivado与Modelsim联合仿真

    Vivado与Modelsim联合仿真 笔者在学习FPGA过程中遇到了如何使用Vivado和Modelsim进行联合仿真的问题,特此记录. 首先确定版本 笔者Vivado用的是2018.3版本,先是随 ...

最新文章

  1. raid模式_基于网络的磁盘热备技术|网络RAID-1
  2. linux 命令 kps,10个LINUX命令 超好用
  3. hibernate mysql annotation_hibernate学习笔记03-- hibernate + mysql + Annotation
  4. 剑指offer 算法 (代码的鲁棒性)
  5. QML基础类型之geoshape
  6. 【转】C++ win32窗口创建详解
  7. Python函数参数值传递
  8. 生物学专业_江南大学微生物学(发酵)20002008历年考研专业课真题汇编
  9. python word2vec 移植_真实资讯语料下的Word2Vec的迁移实践:Tag2Vec
  10. android listview 分析,android中ListView的定位:使用setSelectionFromTop
  11. MATLAB数组生成、引用
  12. 服务中没有listen_Odoo 中的 IM(即时通讯)实现分析
  13. 单龙芯3A3000-7A1000PMON研究学习-(28)撸起袖子干-再来一杯代码10-内存初始化1
  14. 个人微信公众号申请流程
  15. 【App Inventor】飞机大战 APP
  16. [湖南集训]谈笑风生
  17. 织梦免费网站模板手机端无法更新的原因及解决
  18. macOS:删除DNS
  19. hautoj1262: 魔法宝石
  20. Hexo--博客搭建

热门文章

  1. 人工智能 —— A*算法
  2. CFSSL: 证书管理工具:5:理解CSR文件内容
  3. 求Sn=a+aa+aaa+…+aa…aaa(有n个a)之值,其中a是一个数字,为2。 例如,n=5时=2+22+222+2222+22222,n由键盘输入。
  4. springmvc中Model的理解
  5. idea出现Can not set int field xxx to java.lang.Long 错误
  6. 大专(高职)到底值不值得读
  7. 常用的正则表达式判断手机号邮箱等
  8. 【实战】AI 怎么打麻将?
  9. innodb_data_file_path参数的一些注意事项
  10. CentOS7定时任务配置与使用