我这里用到的Quartus是18.1版本的,Modelsim是自带的(注:我这里在设置Modelsim是Modelsim-Altera)。

1.我们事先在桌面创建一个命名为test的文件,用来存放quartus生成的一些文件。

2.打开quartus,点击file ---> New Project Wizard

3.点击next

4.这里第一个选择我们要将文件放到哪个地方,我这里放到了刚刚在桌面上创建的test文件上,在test文件之上又创建了一个名叫yumen的文件,表示此次的实验是绘制一个与门的波形。(文件名是自己定的,但是不要起中文,不要空格,这里文件名起yumen是为了起到一个见名知意的效果)后面两个要起的一样的名字,可以与第一个不一样,这里我们初学者就都起一样的吧。

5.点击next

6.点击next

7.这里根据自己的需求选择合适自己的芯片,我在这里什么都不了,直接点next

8.这里的simulation 一定要选ModelSim-Altera;

9.点击finish,这样我们完成了一个工程的创建

10.点击file ---->  new -------->Verliog HDL File

11.输入以下代码,就完成了与门的设计,点击上方的strat开始执行我们的程序。

module yumen(a,b,y);input a,b;
output y;assign y = a & b;
endmodule

注意:这里module模块的名字要与第四步创建的名字一致,否则会报错。

12.在这里我们可以查看他的RTL图

13.点击processing -----> start ------>start Test Bench Template Writer 来生成yumen.vt的测试文件.

注意:生成的文件位置在

14.为了使代码更简洁一些,我们现在可以把  //  及后面的文字全部删去(当然也可以不删除,//的意思是注释,起说明代码的作用,不参与代码的执行)我们将在yumen.vt测试文件中个a,b分别赋值0或1,来使输出显示0,1的波形。

15.找到 project Navigator ----> yumen 右键点击setting

16.注意Tool name:为ModelSim - Alter,在NativeLink settings中选择Compile test bench,之后点击

TestBenches

17.点击new

18.点击simluation --->  modelsim ----> 找到yumen.vt 点击open

19.①点击add ②写那个文件的名字yumen.vt  ③写yumen_vlg_tst(打开yumen.vt文件,选择module右面的名字,见下图)

之后一路点ok即可

20.点击Run simulation Tool  ------->   RTL Simulation

21.最后便可以在ModelSim得到如下波形图

这样我们就完成那个了Quartus与ModelSim的联合仿真。

Quartus同Modelsim的联合仿真相关推荐

  1. Quartus 与modelsim se联合仿真

    ** 1.首先下载并安装两个软件 ** modelsim se是从下面网址下载并破解的(未使用altera-modelsim,这两个是不一样的) http://www.xue51.com/ 至于qua ...

  2. Quartus ii和Modelsim SE联合仿真的问题总结

    ** 1. 如何导入quartus ii库 ** 1.设置工作路径 打开modelsim安装目录(我的modelsim安装在d:/modelsim目录下),新建文件夹altera.后面的步骤将在该目录 ...

  3. 通过文件读写方式实现Matlab和Modelsim的联合仿真

    虽然 Modelsim 的功能非常强大,仿真的波形可以以多种形式进行显示,但是当涉及到数字信号处理的算法的仿真验证的时候,则显得有点不足.而进行数字信号处理是 Matlab 的强项,不但有大量的关于数 ...

  4. vivado与modelsim的联合仿真(二)

           最近在做Zynq的项目,曾经尝试使用ISE+PlanAhead+XPS+SDK组合和Vivado+SDK来搭建工程,使用中发现前者及其不方便后者有诸多不稳定.近期得闻Xilinx退出Vi ...

  5. hls和modelsim进行联合仿真

    在solution下点击Run C/RTL Cosimulation 选择仿真器为Modelsim,Dump Trace选择all,选择编译库地址为之前在vivado中生成的器件地址,没生成的可以重新 ...

  6. vivado与modelsim的联合仿真(一)

    vivado软件中也自带仿真工具,但用了几天之后感觉仿真速度有点慢,至少比modelsim慢挺多的.而modelsim是我比较熟悉的一款仿真软件,固然选它作为设计功能的验证.为了将vivado和mod ...

  7. Quartus II 与ModelSim-SE联合仿真Shift_ram

    原理介绍 The ALTSHIFT_TAPS IP core supports single-bit and multiple-bit data shifting at one clock cycle ...

  8. vivado 2018与modelsim的联合仿真

    1.vivado中设置modelsim(即第三方仿真工具)的安装路径. "Tools"-->"Settings..." 选择Tool Settings下& ...

  9. Quartus与Modelsim联合仿真ROM IP时输出波形一直为零的问题以及ROM配置仿真教程

    本人近期在使用Quartus Prime与 ModelSim联合对ROM IP进行仿真时,遇到了一个问题,仿真输出波形一直为零.如下图,其中neur_W即为ROM的输出. 在反复确认本人代码没有问题后 ...

最新文章

  1. 最新批量***dedecms|dedecms最新0day
  2. jupyter python版本_Ubuntu Desktop 16.04 LTS 下成功配置Jupyter的两个python内核版本(2.7x,3.5x)...
  3. Ubuntu 17.04 Chrome 安装
  4. 只做macd二次金叉_【教你一招】MACD低位二次金叉
  5. POJ - 2385 Apple Catching (dp)
  6. int.class 与 Integer.class
  7. linux云服务器上安装JDK
  8. [BZOJ3676][Apio2014]回文串
  9. JavaTPoint 数据科学和人工智能中文教程【翻译完成】
  10. A - ACM Computer Factory - poj 3436(最大流)
  11. 【机器人】激光测距传感器的数据处理步骤
  12. [转]C#综合揭秘——细说进程、应用程序域与上下文之间的关系
  13. Windows Mobile 6.5开发环境搭建
  14. 巴黎世家土味病毒营销,B端创业初期,如何用营销壮大你的种子用户?
  15. 成功之路散文连载之笨人论
  16. 了解嵌入式软件开发周期
  17. 如何读取或转换PCD点云文件
  18. 无线网络有信号显示未连接网络连接服务器,路由器无线网络受限制或无连接怎么办...
  19. 2021年全球与中国飞机导航镜行业市场规模现状及企业市场份额分析
  20. 飞冰 前端开发的一些坑

热门文章

  1. 用CSS实现3D的效果(水滴)
  2. swing的JTextField的介绍及其使用方法
  3. linux红帽认证rhca,红帽认证RHCA学习历程及建议
  4. LeetCode 1496 - 1499
  5. 如何使用Axure制作日历附源文件
  6. 【附源码】计算机毕业设计SSM农产品销售平台
  7. Siemens PPI协议分析
  8. 开发人员看测试之TDD和BDD
  9. Druid连接池的使用讲解
  10. 英语四级口语资料整理——自我介绍篇