• 在solution下点击Run C/RTL Cosimulation
  • 选择仿真器为Modelsim,Dump Trace选择all,选择编译库地址为之前在vivado中生成的器件地址,没生成的可以重新回去生成一下,参见博客 vivado2018.3和modelsim10.6d进行联合仿真
  • 根据ug871-vivado-high-level-synthesis-tutorial的描述,最好将modelsim添加到环境变量里,不然找不到
  • CAUTION! This lab exercise requires that the executable for ModelSim is defined in the system search path and that the required license to perform HDL simulation is available on the system.
  • 我这是把modelsim安装包里的win64文件夹放在用户变量的Path里

  • 插一段错误分析
  • 这个是真的找不到,我之前只能打开10.1c找不到wlf文件还以为出问题了,发现之前在Path下放得是10.1c的win64
  • 而且10.1c和10.6d好像还会冲突,之前一直报这个错误不晓得为啥
  • 错误分析结束

  • 可以把wlf文件直接用modelsim打开,也可以进一步设置一下,右击选择open with下的other
  • 选择其他程序,点击Browse,选择modelsim.exe(在win64文件下可以找到),最后可以勾上为所有wlf文件
  • 启动modelsim,添加带有inst的wave,点击zoom full后完美显示

hls和modelsim进行联合仿真相关推荐

  1. 通过文件读写方式实现Matlab和Modelsim的联合仿真

    虽然 Modelsim 的功能非常强大,仿真的波形可以以多种形式进行显示,但是当涉及到数字信号处理的算法的仿真验证的时候,则显得有点不足.而进行数字信号处理是 Matlab 的强项,不但有大量的关于数 ...

  2. vivado与modelsim的联合仿真(二)

           最近在做Zynq的项目,曾经尝试使用ISE+PlanAhead+XPS+SDK组合和Vivado+SDK来搭建工程,使用中发现前者及其不方便后者有诸多不稳定.近期得闻Xilinx退出Vi ...

  3. Quartus同Modelsim的联合仿真

    我这里用到的Quartus是18.1版本的,Modelsim是自带的(注:我这里在设置Modelsim是Modelsim-Altera). 1.我们事先在桌面创建一个命名为test的文件,用来存放qu ...

  4. Quartus ii和Modelsim SE联合仿真的问题总结

    ** 1. 如何导入quartus ii库 ** 1.设置工作路径 打开modelsim安装目录(我的modelsim安装在d:/modelsim目录下),新建文件夹altera.后面的步骤将在该目录 ...

  5. vivado与modelsim的联合仿真(一)

    vivado软件中也自带仿真工具,但用了几天之后感觉仿真速度有点慢,至少比modelsim慢挺多的.而modelsim是我比较熟悉的一款仿真软件,固然选它作为设计功能的验证.为了将vivado和mod ...

  6. Quartus 与modelsim se联合仿真

    ** 1.首先下载并安装两个软件 ** modelsim se是从下面网址下载并破解的(未使用altera-modelsim,这两个是不一样的) http://www.xue51.com/ 至于qua ...

  7. vivado 2018与modelsim的联合仿真

    1.vivado中设置modelsim(即第三方仿真工具)的安装路径. "Tools"-->"Settings..." 选择Tool Settings下& ...

  8. MATLAB 与Modelsim之间通过Linker的联合仿真

    Link for ModelSim介绍 ** Link for ModelSim®是一个把MATLAB/Simulink和针对FPGA 和ASIC的硬件设计流程无缝连结起来的联合仿真的接口扩展模块.它 ...

  9. FPGA学习之路—Vivado与Modelsim联合仿真

    Vivado与Modelsim联合仿真 笔者在学习FPGA过程中遇到了如何使用Vivado和Modelsim进行联合仿真的问题,特此记录. 首先确定版本 笔者Vivado用的是2018.3版本,先是随 ...

最新文章

  1. 蓝桥杯省赛最短路径-模板
  2. 完美解决vue项目中弹出框滑动时,内部页面也跟着滑动问题
  3. C语言之强制类型转换与指针--#define DIR *((volatile unsigned int *) 0x0022)
  4. Android程序设计基础
  5. 睡前小故事之MySQL起源
  6. 吴恩达神经网络和深度学习-学习笔记-26-迁移学习(transfer learning)
  7. BLE低功耗蓝牙协议栈
  8. 截图智能识字demo
  9. 解决Win10打开可执行文件提示为了对电脑进行保护,已经阻止此应用的问题
  10. Java实现IP代理
  11. BitTorrent 性能卓越的原因
  12. 软件测试————第二章
  13. 头歌平台数据可视化-第五关时间空间数据的可视化——等高线图
  14. Git安装(傻瓜版)
  15. 记一次全局/后台弹窗处理
  16. Java源码 JavaWeb开发框架 代码 SSH SSM OA ERP CRM Java项目[Java通用框架源码及开发视频教程]
  17. 开展计算机课程的目的,计算机系开展说课程线上教研活动
  18. 网御星云防火墙上网行为审计配置
  19. EFI系统分区如何删除
  20. 用Python软件画螺旋式正方形

热门文章

  1. 第T题 详解放苹果(递归) =========== 把M个同样的苹果放在N个同样的盘子里,允许有的盘子空着不放,问共有多少种不同的分法?(用K表示)5,1,1和1,5,1 是同一种分法。
  2. 广义表head tail 运算_双链表实现LRU缓存淘汰策略
  3. linux vi代码高亮,linux Vi编辑器代码高亮设置及永久显示行号的方法
  4. 【java笔记】数组概念初始化及相关操作
  5. Helm 3 完整教程(十五):Helm 函数讲解(9)网络函数、文件路径函数、类型检查函数
  6. Mybatis的两种分页方式:RowBounds和PageHelper
  7. html弄多个按钮_如何为HTML / CSS页面添加更多按钮?
  8. 【2021牛客暑期多校训练营5】Double Strings(二维字符串dp)
  9. 【NOIP2002】【Luogu1032】字串变换
  10. 文件摆渡是什么意思_小白学炒股:除权、填权、贴权是个什么东东?