vivado软件中也自带仿真工具,但用了几天之后感觉仿真速度有点慢,至少比modelsim慢挺多的。而modelsim是我比较熟悉的一款仿真软件,固然选它作为设计功能的验证。为了将vivado和modelsim关联,需要进行一些设置,下面一一介绍。

一、在vivado中设置modelsim(即第三方仿真工具)的安装路径。在vivado菜单中选择“Tools”——>“Options...”,选择“General”选项卡,将滚动条拉倒最底部,在“QuestaSim/ModelSim install path”栏中输入或选择modelsim工具的安装路径,如图1所示。

图1  设置modelsim的安装路径

二、器件库编译。首先,在modelsim安装路径中新建一个名为vivado2014_lib的文件夹(路径和文件名可改),如图2所示。

图2  在modelsim安装路径下新建vivado2014_lib文件夹

接着选择vivado菜单“Tools”——>“Compile Simulation Libraries...”命令,如图3所示。

图3  选择“Compile Simulation Libraries...”命令

在弹出的对话框中设置器件库编译参数,仿真工具“Simulator”选为ModelSim,语言“Language”、库“Library”、器件家族“Family”都为默认设置All(当然也可以根据自己的需求进行设置),然后在“Compiled library location”栏设置编译器件库的路径,这里选前面新建的vivado2014_lib文件夹,此外在“Simulator executable path”栏设置modelsim执行文件的路径,其他参数默认,如图4所示。

图4  设置器件库编译参数

设置好参数后点击“Compile”按钮开始器件库的编译。图5所示为正在编译器件库的过程中。器件库编译结束后给出编译报告,从报告中看出0个警告和0个错误,如图6所示。

图5  正在编译器件库的过程中

图6  器件库编译结束后产生编译报告

打开modelsim安装路径下的vivado2014_lib文件夹,便可以看到已经产生了器件库,如图7所示。

图7  已在vivado2014_lib文件夹中生成器件库

三、在vivado中关联了modelsim软件和编译器件库之后,就可以在vivado中调用modelsim软件对设计进行仿真了。不过,在对每一个新建的工程设计进行仿真时需要进行一些设置。选择vivado菜单“Flow”——>“Simulation Settings...”命令或点击流程向导中选择“Simulation Settings...”命令,分别如图8和图9所示。

图8  从菜单选择“Simulation Settings...”命令

图9  从流程向导中选择“Simulation Settings...”命令

在弹出的对话框中,设置仿真工具为modelsim、仿真语言为verilog或VHDL或混合,当设计中用到vivado中自带的仿真工具时,还要指定器件库的路径,如图10所示。关于仿真的其他参数在这里就不作介绍了。

图10  设置仿真参数

设置好仿真参数后,如果设计文件和仿真文件也准备好,那么就可以开始对设计的功能进行仿真了。选择菜单“Flow”——>“Run Simulation”——>选相应的仿真类型或点击流程向导中的“Run Simulation”——>选相应的仿真类型进行仿真,如图11所示。

图11  选择相应的仿真类型进行仿真

vivado与modelsim的联合仿真(一)相关推荐

  1. vivado与modelsim的联合仿真(二)

           最近在做Zynq的项目,曾经尝试使用ISE+PlanAhead+XPS+SDK组合和Vivado+SDK来搭建工程,使用中发现前者及其不方便后者有诸多不稳定.近期得闻Xilinx退出Vi ...

  2. 通过文件读写方式实现Matlab和Modelsim的联合仿真

    虽然 Modelsim 的功能非常强大,仿真的波形可以以多种形式进行显示,但是当涉及到数字信号处理的算法的仿真验证的时候,则显得有点不足.而进行数字信号处理是 Matlab 的强项,不但有大量的关于数 ...

  3. Quartus同Modelsim的联合仿真

    我这里用到的Quartus是18.1版本的,Modelsim是自带的(注:我这里在设置Modelsim是Modelsim-Altera). 1.我们事先在桌面创建一个命名为test的文件,用来存放qu ...

  4. hls和modelsim进行联合仿真

    在solution下点击Run C/RTL Cosimulation 选择仿真器为Modelsim,Dump Trace选择all,选择编译库地址为之前在vivado中生成的器件地址,没生成的可以重新 ...

  5. Quartus ii和Modelsim SE联合仿真的问题总结

    ** 1. 如何导入quartus ii库 ** 1.设置工作路径 打开modelsim安装目录(我的modelsim安装在d:/modelsim目录下),新建文件夹altera.后面的步骤将在该目录 ...

  6. vivado 2018与modelsim的联合仿真

    1.vivado中设置modelsim(即第三方仿真工具)的安装路径. "Tools"-->"Settings..." 选择Tool Settings下& ...

  7. cadence安装完怎么打开_Linux 环境下Vivado与Cadence仿真工具联合仿真环境的搭建

    在之前本公众号写过两篇关于工具更新对仿真调试提高效率的文章,[干货]推荐一款FPGA仿真调试鸟枪换炮的工具!本文就介绍其中一种仿真环境的搭建过程.后续还有VCS+Verdi环境的安装介绍,敬请期待. ...

  8. Vivado关联Modelsim行为级仿真出错 [USF-ModelSim-70] 'compile' step failed with error(s) while executing

    问题发现 昨天关联仿真,一切正常,然后晚上电脑没关,直接盖上休眠,第二天发现报错 [USF-ModelSim-70] 'compile' step failed with error(s) while ...

  9. Quartus 与modelsim se联合仿真

    ** 1.首先下载并安装两个软件 ** modelsim se是从下面网址下载并破解的(未使用altera-modelsim,这两个是不一样的) http://www.xue51.com/ 至于qua ...

最新文章

  1. 汇编语言reg和sreg bp的介绍
  2. 关于maven工程中一直报和依赖包json-lib-2.4-jdk15.jar相关错误的问题解决方法
  3. Python 技术篇-打开指定文件夹、目录、路径方法,运行指定文件演示
  4. 工作216:JS-JS创建数组的三种方法
  5. 前端学习(1296):第三方模块nodemon
  6. IT巨头互掐云存储:Dropbox能否一马当先
  7. 第三方库pod错误:ld: library not found for -lXXX
  8. springboot-21-maven多环境打包
  9. 跑步与读书都废掉了...工作目前也在换新的.
  10. 华为HMS核心服务全面开放 赋能开发者共筑智慧新生态
  11. Bug--WARN Please initialize the log4j system properly.
  12. linux nohup 记录pid,nohup启动程序后如何获取进程号Pid
  13. 分布式系统的概念、特点及常见方案
  14. nginx系列第一篇:nginx源码下载,编译和安装
  15. 交换机SERDES介绍
  16. LeetCode 三等分(题解+优化过程)
  17. 像素坐标转换实际坐标python_像素坐标转世界坐标的计算
  18. html中绝对定位的父级,【CSS学习笔记】绝对定位的父类参照物的确定
  19. 人与自然超越彩虹-上
  20. 深度学习-感知器(感知机)

热门文章

  1. 航天智慧物流线上预选赛-成绩公布
  2. 小型直流电机内部结构
  3. vue indev.html,webpack多入口热加载很慢
  4. python身份运算符的语法规则_7 Python语法入门之与用户交互、运算符
  5. 成都黑帽门图片大全_2020成都第一波出行/便民/打卡免费大全
  6. vs合并项目_线性混合效应模型 VS 方差分析
  7. python文件编译_我算是白学Python了,现在才知道原来Python是可以编译的
  8. 华硕k555l拆光驱_2L大小的迷你电脑用起来有什么区别?华硕VC66
  9. Matlab学习笔记——文本文件的读写
  10. 范数(赋范线性空间、向量范数、矩阵范数)