1.条件信号赋值语句

library ieee;
use ieee.std_logic_1164.all;entity mux4 isport(i0,i1,i2,i3,a,b : in std_logic;q : out std_logic);
end mux4;architecture rtl of mux4 issignal sel : std_logic_vector(1 downto 0);
beginsel <= b&a;q <= i0 when sel = "00" else            --条件赋值语句,给q赋值i1 when sel = "01" elsei2 when sel = "10" elsei3 when sel = "11" ;
end rtl;

2.选择信号赋值语句

library ieee;
use ieee.std_logic_1164.all;entity mux4 isport(i0,i1,i2,i3,a,b : in std_logic;q : out std_logic);
end mux4;architecture rtl of mux4 issignal sel : std_logic_vector(1 downto 0);
beginsel <= a&b;with sel select            --选择信号赋值语句q <= i0 when "00",i1 when "01",i2 when "10",i3 when "11",'X' when others;
end rtl;

VHDL——4选1多路选择器相关推荐

  1. 跟着小梅哥初学FPGA ,vivdao开发平台,二选一多路选择器。

    今天正式开始自己的FPGA自学之路,由于导师项目需要,xilinx ZYNQ 7系列的板子,vivado软件平台进行开发,所以自己也直接学习vivado软件开发的板子,我自己学习所用的开发板是xili ...

  2. FPGA _Verilog HDL_8选一多路选择器设计

    一.题目 熟悉QuartusII软件,并设计一个8选1多路选择器,并完成其仿真波形图(贴图). 二.源代码 //-------------------------------------------- ...

  3. Verilog数字系统设计——4 选1 多路选择器

    Verilog数字系统设计--4 选1 多路选择器 题目 试分别使用assign.门级原语和always 语句设计4 选1 多路选择器,并写出测试代码进行测试.要求编制测试模块对实现的逻辑功能进行完整 ...

  4. 四选一多路选择器MUX41a

    四选一多路选择器的代码如下,保存路径不能有中文!! 文件名保存为模块名. (Cyclone III-----EP3C40Q240C8) 编译无错误后: File-New-VMF Edit--Inser ...

  5. 利用Block Design在Vivado实现三位四选一多路选择器

    一.要求:利用Block Design设计一个三位 4 选 1 多路选择器电路 二.实验原理: 真值表: Block Design原理图 三.设计过程 Block Design 将自己编写的与门,非门 ...

  6. Verilog门级实现二选一多路选择器

    使用门级电路实现1位二选一多路选择器. 通过真值表设计电路: 使用Verilog门级实现: module MUX2X1(A0,A1,S,Y);//命名 input A0,A1,S;//输入,全部为1位 ...

  7. Verilog学习日志(4选一多路选择器)

    初次接触硬件设计相关的东西,对学习过程进行一下记录. 首先根据书上内容设计了一个简单的二选一选择器 Verilog代码如下: module mux2_1 #( parameter DW = 32 // ...

  8. FPGA刷题P1:4选1多路选择器、异步复位的串联T触发器、奇偶校验、移位拼接乘法

    牛客网上面有FPGA的刷题平台,打算暑假把上面的题刷了,代码思路给大家分享 目录 4选1多路选择器 异步复位的串联T触发器 奇偶校验 移位拼接乘法 位拆分与运算 4选1多路选择器 这道题用组合逻辑,s ...

  9. VHDL四选一数据选择器和基本触发器的设计

    主要内容: 本设计主要是利用超高速硬件描述语言VHDL对四选一数据选择器和基本触发器电路进行编程实现. 四选一数据选择器应该具备的功能:在选择信号的作用下,从输入通道中选择某一个通道的数据作为输出. ...

最新文章

  1. [转][小结][三种方法]实现WPF不规则窗体
  2. linux sa 命令,Linux 常用命令全拼
  3. HAProxy高并发问题解决
  4. VS Code 全部快捷键一览表(巨TM全)
  5. 前端学习(3256):react中添加todolist
  6. Java9中使用jpa,jpa – eclipselink在Java 9上使用final字段进行静态编织
  7. python的moviepy库 打包 报错: ‘moviepy.audio.fx.all‘ has no attribute ‘audio_fade 的解决办法
  8. 深入java虚拟机(二) 对象的创建
  9. 初试 spring web mvc
  10. linux桌面版如何添加新网络,ubuntu网络配置(桌面版和服务器版)
  11. spring实战第五版总结
  12. 使用bootstrap打造卡片个人简历
  13. openBravo数据库结构分析
  14. 2016 Multi-University Training Contest 2 1012 La Vie en rose (暴力)
  15. 前端开发:报错Error in... ”SyntaxError:Unexpected token u in JSON at position 0”…解决方法
  16. HC-05蓝牙模块配对方法及AT指令配置
  17. 抖音自媒体上热门的诀窍,高效蹭实时热点的4个技巧
  18. PHP将Word转PDF文件
  19. 这么骚的SQL进阶技巧,不怕被揍么?
  20. 大学生计算机python_人人都能学计算机:计算机科学入门与Python编程_学堂在线章节测试答案...

热门文章

  1. 淡雅灰低三角形背景几何风年终总结商务通用ppt模板
  2. 数据通信原理——第二章(数据信号的传输)备考自用
  3. 目标跟踪算法--Staple: Complementary Learners for Real-Time Tracking
  4. /var/run目录详解
  5. 浅谈全球化部署(二)
  6. 上海电子交通图 线路查询网站
  7. 网页错误404 not found的解决方法
  8. 全解析jQuery插件开发!很好很强大!
  9. 单片机平衡车_大街上经常看到的平衡车,它的原理是什么?
  10. 川崎机器人运动命令个人笔记