今天正式开始自己的FPGA自学之路,由于导师项目需要,xilinx ZYNQ 7系列的板子,vivado软件平台进行开发,所以自己也直接学习vivado软件开发的板子,我自己学习所用的开发板是xilinx ZYNQ 7010。我是一边看小梅哥的视频,一边看自己的开发板的教程来一步步学习,通过博客来记录学习,过程,也是自我的一种监督,初次接触FPGA,所写博客过程中肯定有很多不足,或者错误的地方,若有同行发现错误,忘指出,相互学习,共同进步。

第一次是看了小梅哥视频后,自己重新编写二选一多路选择器。记录过程比较粗糙,请谅解。

1、二选一多路选择器有三个输入,一个输出。如图所示:

当sei == 1,out =a;

当sel= 0 ,out= b;

我们再用verilog语言编写程序时,先定义端口,然后定义好输入与输出。最后用一个条件语句来表示二选一多路选择器assign out = (sel ==1)?a:b;,和C语言一模一样。

Verilog代码如下图所示

module mux_2(
        a,
        b,
        sel,
        out
       
    );
     input a;
        input b;
        input sel;
        output out;
    assign out = (sel ==1)?a:b;
endmodule

代码写完后,如下图所示,编译,链接,没有问题之后,接下来进行仿真。

初学verilog一定要重视仿真,我们可以利用仿真,来验证我们写的程序是否达到目的,我们还要学会如何看仿真图。

并且一定要动手写仿真代码。仿真对于我们调试程序来说,十分重要,并且一定要去自己动手写。

二选一多路选择器的仿真代码下,具体的Verilog语法请自己查看书籍,这里我们拥有三个输入,按照排列组合,输入就有8种情况。

module mux_2_tb();
     reg s_a;
     reg s_b;
     reg sel;
     wire out;

//第一个mux_2必须和我们的模块名称相同,第二个随便取
mux_2 mux_2(
        .a(s_a),
        .b(s_b),
        .sel(sel),
        .out(out)
    );
    
    initial begin
    s_a = 0;s_b =0;sel = 0;
    #200;      //#200表示延迟,这个仅仅在testbench 中,表示激励延迟200ns。
    s_a = 0;s_b =0;sel =1;
    #200;      
    s_a = 0;s_b =1;sel = 0;
    #200;      
    s_a = 0;s_b =1;sel = 1;
    #200;      
    s_a = 1;s_b =0;sel = 0;
    #200;      
    s_a = 1;s_b =0;sel = 1;
    #200;      
    s_a =1;s_b =1;sel = 0;
    #200;
    s_a = 1;s_b =1;sel = 1;
    #200;
   $stop;
    end
endmodule

当我们保存仿真代码,编译无误后,在SIMULATION 下面点击Run Simulation ,进行仿真,仿真结果如下图所示,并且注意到我们的延迟是1600ns左右

然后添加引脚信息,再进行时序仿真。注意输出会有个5ns的延迟,因为实际板子传输信号这里会有个延迟;并且后面还有一个毛刺。这是由于其他信号波动,造成的。

接下来就是给程序制定I/O口,操作步骤如下,注意,电平那里改为3.3V,具体如图所示,然后查看原理图,进行修改端口,完成。

完成以上操作,就乐意看到constrs中多了一项,如图所示,这就是刚刚修改的引脚信息,我们也可以自己用文本方式输入。

最后就是产生能下载到FPGA板子里面的文件,和下载程序,

只要板子下载器插好,软件会自动识别,就可以下载程序到开发板上,就行调试。

跟着小梅哥初学FPGA ,vivdao开发平台,二选一多路选择器。相关推荐

  1. 小梅哥Xilinx FPGA学习笔记1——二选一多路器

    二选一多路器 〇.功能介绍 1.功能描述 2.原理图 一.代码编写 1.设计文件 2.激励文件 3.仿真图 二.总结 〇.功能介绍 1.功能描述 sl为控制信号,a,b为两个输入信号,out为输出信号 ...

  2. JEECG 智能开发平台二次开发帮助文档

    JEECG 智能开发平台二次开发帮助文档  http://code.google.com/p/jeecg/downloads/list

  3. mapgis java二次开发_MapGIS开发平台二次开发.docx

    MapGIS开发平台二次开发解决方案 2012年8月武汉 前言 MapGIS IGSS地理空间信息共享服务平台解决方案产品,在超大规模.虚拟化的硬件架构基础上,提供以微内核群(MicroCore)为支 ...

  4. 小梅哥-建工程+二选一多路器

    1. 通过单击 Quick Start 组的 Create Project 来新建一个 Vivado 设计工程. 说明:在 Vivado 的启动界面中分为 Quick Start.Tasks.Lear ...

  5. FPGA刷题P1:4选1多路选择器、异步复位的串联T触发器、奇偶校验、移位拼接乘法

    牛客网上面有FPGA的刷题平台,打算暑假把上面的题刷了,代码思路给大家分享 目录 4选1多路选择器 异步复位的串联T触发器 奇偶校验 移位拼接乘法 位拆分与运算 4选1多路选择器 这道题用组合逻辑,s ...

  6. 禁止电商平台二选一、遛狗必栓绳!5月起有这些新规定

    5月起,有一批新的法律法规即将落地,这将如何影响我们的生活,一起来看看吧. "直播带货"主播应满十六周岁 国家互联网信息办公室.公安部.商务部.文化和旅游部.国家税务总局.国家市场 ...

  7. 国家出台电子商务法,解决电商平台“二选一”问题

    近日,电子商务法草案第三次提交全国人大常委会进行审议.在三审稿中,对电商平台要求商家"二选一"的行为进行了规范. 电商平台"二选一"具体是指,在电商促销活动中, ...

  8. 开发平台怎么选?来看看专业人士怎么说

    联网时代的来临从根本上改变了人们的生活方式,而在互联网的背后,软件则成为了互联网在人们日常生活中最常见的载体.每天,当我们打开手机.电脑等等,无论是用来工作.学习,还是娱乐,软件都成为了我们享受互联网 ...

  9. 电商平台“二选一” 最后买单的却是商家和消费者

     TechWeb 4月27日 文/小渔 拼多多日前对外发布上市后的首份年报,各项数据仍是保持着高速增长的势头.与此同时,创始人黄峥也发布了上市后首封致股东信,言语中透露出对市场中存在的"二选 ...

最新文章

  1. ceph bluestore 源码分析:ceph-osd内存查看方式及控制源码分析
  2. 配置red hat的ip 自动地址
  3. 计算机视觉的基本概念
  4. 集成有MAX1169,MAX520的DAAC单片STC8G1K08机电路板
  5. size/resize与 capacity/reserve
  6. 浅谈Session与Cookie的关系
  7. postgres 禁止远程登录_Windows 7禁止可移动存储设备写入数据,只有想不到,没有做不到...
  8. 微信支付开发(6) 收货地址共享接口
  9. SpringBoot中拦截器
  10. 【NLP】NLP中各种各样的编码器
  11. Python:通过获取淘宝账号和密码的实验,来看登陆方式选择的重要性
  12. matlab分布拟合函数
  13. Namenode服务挂
  14. 机动车合格证手机扫码开票实现方式
  15. TIM1_CH1N和TIM1_CH1的区别和控制
  16. Mysql CASE方法条件怎么加and或or
  17. java 里面耦合和解耦
  18. 云服务器怎么维护运营
  19. Unity3D游戏开发最佳实践技巧
  20. 使用智能合约实现自动分账

热门文章

  1. bash破壳漏洞分析(二)
  2. 微软云计算产品详解 主打Windows
  3. MFC颜色调配 | vc++6.0颜色设置,如何修改控件颜色
  4. python编程从入门到实践 第18章Django入门 2022年最新
  5. 使用CentOS7.4搭建bgp网络实验Quagga
  6. 计算机视觉中的多视图几何 --3D射影几何中的面与直线
  7. activity工作流学习
  8. dll文件的注册与删除
  9. Intellij IDEA 学生的免费使用计划(使用edu邮箱注册)
  10. JDO学习笔记之Sun JDO参考实现篇