Verilog数字系统设计——4 选1 多路选择器

题目

  1. 试分别使用assign、门级原语和always 语句设计4 选1 多路选择器,并写出测试代码进行测试。要求编制测试模块对实现的逻辑功能进行完整的测试;
  2. 实验提交Verilog设计文件(.v文件)和仿真波形截图,文件打包,压缩包以自己的学号+姓名命名;

仿真截图

代码

下面展示一些 内联代码片

// An highlighted block
always语句:
module four_to_one_1(out,a,b,c,d,s1,s0);output out;reg out;input a,b,c,d;input s1,s0;always @(a or b or c or d or s1 or s0)
begincase({s1,s0})2'b00: out=a;2'b01: out=b;2'b10: out=c;2'b11: out=d;default: out=0;endcase
end
EndmoduleAssign语句
module four_to_one_2(out,a,b,c,d,s1,s0);output out;input a,b,c,d;input s1,s0;assign out=s1?(s0?d:c):(s0?b:a);
endmodule门级:
module four_to_one_3(out,a,b,c,d,s1,s0);output out;input a,b,c,d;input s1,s0;wire out1,out2,out3,out4;not u1(ns1,s1);not u2(ns0,s0);and u3(out1,ns1,ns0,a);and u4(out2,ns1,s0,b);and u5(out3,s1,ns0,c);and u6(out4,s1,s0,d);or u7(out,out1,out2,out3,out4);
endmodulemodule four_to_one_test;wire outw1;wire outw2;wire outw3;reg ain,bin,cin,din;reg s0in,s1in;four_to_one_1 four_to_one_1(.out(outw1),.a(ain),.b(bin),.c(cin),.d(din),.s1(s1in),.s0(s0in));four_to_one_2 four_to_one_2(.out(outw2),.a(ain),.b(bin),.c(cin),.d(din),.s1(s1in),.s0(s0in));four_to_one_3 four_to_one_3(.out(outw3),.a(ain),.b(bin),.c(cin),.d(din),.s1(s1in),.s0(s0in));
initialbeginain=1'b0;bin=1'b0;cin=1'b0;din=1'b0;s1in=1'b0;s0in=1'b0;end
always #10 {s1in,s0in}={s1in,s0in}+1'b1;
always #10 {ain,bin,cin,din}={ain,bin,cin,din}+1'b1;
endmodule

运行截图

Verilog数字系统设计——4 选1 多路选择器相关推荐

  1. Verilog练习笔记(四选一多路选择器)

    制作一个四选一的多路选择器,要求输出定义上为线网类型 状态转换: d0    11 d1    10 d2    01 d3    00 信号示意图: 波形示意图: 输入描述: 输入信号   d1,d ...

  2. Verilog门级实现二选一多路选择器

    使用门级电路实现1位二选一多路选择器. 通过真值表设计电路: 使用Verilog门级实现: module MUX2X1(A0,A1,S,Y);//命名 input A0,A1,S;//输入,全部为1位 ...

  3. Verilog学习日志(4选一多路选择器)

    初次接触硬件设计相关的东西,对学习过程进行一下记录. 首先根据书上内容设计了一个简单的二选一选择器 Verilog代码如下: module mux2_1 #( parameter DW = 32 // ...

  4. verilog学习|《Verilog数字系统设计教程》夏宇闻 第三版思考题答案(第五章)

    <Verilog数字系统设计教程>夏宇闻 第三版思考题 答案合集 :个人主页verilog专栏中 1.为什么建议在编写Verilog模块程序时,如果用到 if 语句建议大家把配套的else ...

  5. 【Verilog数字系统设计(夏宇闻)4-----Verilog语法的基本概念2】

    Verilog数字系统设计(夏宇闻)3-----Verilog语法的基本概念2 Verilog 模块的基本概念 Verilog用于模块的测试 Verilog 模块的基本概念 下面先介绍几个简单的Ver ...

  6. 【Verilog数字系统设计(夏雨闻)6-------模块的结构、数据类型、变量和基本运算符号2】

    Verilog数字系统设计(夏雨闻)6-------模块的结构.数据类型.变量和基本运算符号2 常量 数字 参数型 变量 wire型 reg型 memory型 Verilog HDL中总共有19种数据 ...

  7. [转]Verilog数字系统设计教程(大连理工一博士学习笔记)

    写在前面 学习Verilog HDL有一些时间,大概一年前的的这个时候开始的吧,从一点都不懂开始学,主要还是看夏宇闻老师的这本书入的门--<Verilog数字系统设计教程>,书写的特别好. ...

  8. 在VIVADO上实现的非常简易的RISC-V CPU设计(来自《Verilog数字系统设计》夏宇闻著)

    在VIVADO上实现的非常简易的RISC-V CPU设计 一.实验要求重述: 1.实验目的 2.实验要求: 二.学习准备: 1.什么cpu? 2.cpu需要具有哪些部件? 3.什么是RISC_CPU? ...

  9. 跟着小梅哥初学FPGA ,vivdao开发平台,二选一多路选择器。

    今天正式开始自己的FPGA自学之路,由于导师项目需要,xilinx ZYNQ 7系列的板子,vivado软件平台进行开发,所以自己也直接学习vivado软件开发的板子,我自己学习所用的开发板是xili ...

最新文章

  1. 交通信号灯检测与行人过马路策略
  2. 宣武区政务网教育网的改造
  3. IDEA2021.3无法创建测试类解决方法
  4. SAP财务中国本土好内容
  5. Java黑皮书课后题第6章:**6.25(将毫秒转化成小时、分钟、秒数)使用下面的方法头,编写一个将毫秒数转换成小时数、分钟数和描述的方法,返回形式如“小时:分钟:秒“
  6. gnu grub修复_如何修复grub异常
  7. crc32校验算法_UDP/IP硬件协议栈设计(三):校验
  8. python天下第一什么梗_「PHP 是最好的语言」这个梗是怎么来的?
  9. 【Android进阶学习】设置透明效果的三种方法
  10. PHP 扩展 Mongo 与 MongoDB
  11. MySQL影院管理系统_数据库三级项目(电影院管理系统)
  12. magisk卸载内置软件_红米Note7 MIUI12 10.15版 主题和谐 Magisk 省电稳定 迅雷会员
  13. Quantum Espresso安装
  14. MATLAB学习之泰勒展开(四)
  15. java线程 stop()_Java中的线程Thread方法之---stop()
  16. android神器v2ex,这5个堪称神器的APP,用了让你爱不释手!
  17. Win7下安装Ubuntu16.04成双系统
  18. 点餐系统部署文档,java后台部署和微信点餐小程序的部署
  19. python学习需要多长时间
  20. 你好法语A1语法单元汇总(unité 8)

热门文章

  1. 2016计算机应用能力,2016全国专业技术人员计算机应用能力考试.doc
  2. c语言大作业书店图书管理系统,c语言课程设计 书店管理系统.pdf
  3. 网页游戏外挂的设计与编写:QQ摩天大楼【一】(基本技术)
  4. 关于康托展开和逆康托展开详解,及python代码
  5. JavaWeb学习笔记(一)html
  6. matlab pid buck,BUCK电路闭环PID控制系统的MATLAB仿真.doc
  7. 2018最新动脑学院java全集
  8. jQuery 文本段落展开和折叠效果
  9. 南宁小程序开发,挑战百日学习计划第47天(canvas画板工具1)
  10. axure读取服务器文件,axure配置本地服务器