使用门级电路实现1位二选一多路选择器。

通过真值表设计电路:

使用Verilog门级实现:

module MUX2X1(A0,A1,S,Y);//命名
input A0,A1,S;//输入,全部为1位
output Y;//输出为1位
not i0(S_n,S);//非门
nand i1(A0_S,A0,S_n);//与非门,输出命名为A0_S
nand i2(A1_S,A1,S);//与非门,输出命名为A1_S
nand i3(Y,A0_S,A1_S);//与非门,输出为Yendmodule

测试代码:

`timescale 1ns/1ns
module MUX2X1_tb;
reg A0,A1,S;
wire Y;
initial
begin
S=1;
A0=0;
A1=0;
#1000
S=0;
endalways #100 A0=~A0;
always #200 A1=~A1;MUX2X1 mux2x1(//将该代码的信号输入MUX2X1
.A1(A1),
.A0(A0),
.S(S),
.Y(Y)
);
endmodule

Verilog门级实现二选一多路选择器相关推荐

  1. 跟着小梅哥初学FPGA ,vivdao开发平台,二选一多路选择器。

    今天正式开始自己的FPGA自学之路,由于导师项目需要,xilinx ZYNQ 7系列的板子,vivado软件平台进行开发,所以自己也直接学习vivado软件开发的板子,我自己学习所用的开发板是xili ...

  2. Verilog学习日志(4选一多路选择器)

    初次接触硬件设计相关的东西,对学习过程进行一下记录. 首先根据书上内容设计了一个简单的二选一选择器 Verilog代码如下: module mux2_1 #( parameter DW = 32 // ...

  3. Verilog练习笔记(四选一多路选择器)

    制作一个四选一的多路选择器,要求输出定义上为线网类型 状态转换: d0    11 d1    10 d2    01 d3    00 信号示意图: 波形示意图: 输入描述: 输入信号   d1,d ...

  4. 小梅哥Xilinx FPGA学习笔记1——二选一多路器

    二选一多路器 〇.功能介绍 1.功能描述 2.原理图 一.代码编写 1.设计文件 2.激励文件 3.仿真图 二.总结 〇.功能介绍 1.功能描述 sl为控制信号,a,b为两个输入信号,out为输出信号 ...

  5. 小梅哥-建工程+二选一多路器

    1. 通过单击 Quick Start 组的 Create Project 来新建一个 Vivado 设计工程. 说明:在 Vivado 的启动界面中分为 Quick Start.Tasks.Lear ...

  6. Verilog数字系统设计——4 选1 多路选择器

    Verilog数字系统设计--4 选1 多路选择器 题目 试分别使用assign.门级原语和always 语句设计4 选1 多路选择器,并写出测试代码进行测试.要求编制测试模块对实现的逻辑功能进行完整 ...

  7. Quartus II使用说明(Verilog HDL二选一数据选择器 )

    <可编程数字逻辑电路设计>课程中Quartus II软件的使用说明 VHDL和Verilog HDL不一样. 1.新建文件夹,里面包含5个子文件夹(doc/img/prj/rtl/test ...

  8. Vivado(二选一多路器)

    开发流程 一:Add Sources(二选一多路器代码见下)(Add or creat design sources) module mux2(a,b,sel,out );input a;input ...

  9. Verilog二选一数据选择器

    //二选一数据选择器module mux2_1(a0,a1,s,f) input a0,a1,s; output f;//默认是wire(线)变量 assign f=(s)?a1:a0;//assig ...

最新文章

  1. json串反转义(消除反斜杠)-- 转载
  2. 基于遗传算法优化的BP神经网络的 非线性函数拟合
  3. java 单例 缓存hashmap_java 、HashMap 和单例
  4. Oracle Study--Oracle RAC CacheFusion(MindMap)
  5. check corners_免费下载:将Mac样式的Hot Corners添加到Windows 10
  6. DJ 算法的队列优先优化
  7. jsp过滤器一点小结
  8. java博客二级菜单的实现
  9. 输入一正整数,将各数字反转后输出
  10. 前端框架TopJUI使用心得
  11. mysql输出九九乘法表_SQL 打印九九乘法表
  12. 第九届JAVA大学C组 那天返回省赛 第一题
  13. 全面替代Microsoft Office、Microsoft visio和WPS的优秀开源文档编辑器LibreOffice
  14. PDF如何删除页面?批量删除不连续页的方法
  15. php 获取手机设备的ID,获取苹果设备的UDID
  16. Android关于网络访问app应用开发相关的异常总结
  17. error: %preun(mysql-community-server-5.7.36-1.el6.x86_64) scriptlet failed
  18. C++ 判断路径是否存在,不存在则创建(包含多级创建)
  19. pip安装遇到警告which is not on PATH 不修改site.py也可解决
  20. NLP系列 2.特征提取

热门文章

  1. 基于springboot智能仓库(进销存)管理系统java源码
  2. CVPR2016跟踪算法Staple的配置(Staple: Complementary Learners for Real-Time Tracking)
  3. 企业培养人才的捷径【内部导师制】
  4. Dundas Chart图形工具使用
  5. 安科瑞DJSF1352系列直流电能表,可通过RS485接口与微机进行数据交换,并具有电能测量、数据处理、实时监测等功能
  6. Excel如何快速对比两个表格不同项
  7. mikumikudance导入角色后,左边栏的骨骼帧怎么没有了,但是角色本身的骨骼是可以操作的,已知骨骼数据没有任何损坏,pmxeditor中对此该如何修改,请列出具体步骤...
  8. 2022-4-16 基于单片机的PM2.5检测报警设计(传感器)
  9. HTML添加背景图片
  10. 修复 Windows11 打不开 Windows安全中心