目录

  • SPI介绍
    • SPI接口原理
    • SPI工作原理
    • SPI特征
    • 引脚配置
    • 结构体
    • 库函数
    • SPI配置过程
    • SPI.h
    • SPI.c
  • NRF24L01无线射频模块
  • NRF24L01厂家驱动代码移植
    • NRF24L01.h
    • NRF24L01.c
    • main.c
    • 串口打印调试

SPI介绍

SPI接口原理



SPI工作原理

SPI特征







引脚配置

结构体

库函数

SPI配置过程

SPI.h

#ifndef __SPI_H__
#define __SPI_H__#include "stm32f10x.h"void SPI2_Init(void) ;//SPI2初始化   主模式
u8  SPI2_ReadWriteByte(u8 dat);
void SPI2_SetSpeed(u8 SPI_BaudRatePrescaler);#endif

SPI.c

#include "SPI.h"
#include "stm32f10x.h"void SPI2_Init(void)//SPI2初始化   主模式
{GPIO_InitTypeDef GPIO_InitStructure;SPI_InitTypeDef  SPI_InitStructure;/* Enable SPI2 and GPIOB clocks */RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB,ENABLE);RCC_APB1PeriphClockCmd(RCC_APB1Periph_SPI2,ENABLE);/* Configure SPI2 pins: SCK, MISO and MOSI (NSS由软件配置,无需引脚)*/GPIO_InitStructure.GPIO_Pin = GPIO_Pin_13 | GPIO_Pin_14 | GPIO_Pin_15;//SCK MOSI GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;//复用推挽输出 前面有讲到               GPIO_Init(GPIOB, &GPIO_InitStructure);GPIO_SetBits(GPIOB,GPIO_Pin_13 | GPIO_Pin_14 | GPIO_Pin_15);//起始拉高(CPOL为1)/* SPI2 configuration */ SPI_InitStructure.SPI_Direction = SPI_Direction_2Lines_FullDuplex; //SPI2设置为两线全双工SPI_InitStructure.SPI_Mode = SPI_Mode_Master;                     //设置SPI2为主模式SPI_InitStructure.SPI_DataSize = SPI_DataSize_8b;                  //SPI发送接收8位帧结构SPI_InitStructure.SPI_CPOL = SPI_CPOL_High;                          //CPOL极性位:串行时钟在不操作(空闲)时,时钟为高电平SPI_InitStructure.SPI_CPHA = SPI_CPHA_2Edge;                     //CPHA位:第二个时钟沿开始采样数据(此处为上升沿采集数据)SPI_InitStructure.SPI_NSS = SPI_NSS_Soft;                         //NSS片选信号由软件模式(使用SSI位)管理,无需实际引脚接线节省一个引脚SPI_InitStructure.SPI_BaudRatePrescaler = SPI_BaudRatePrescaler_8; //定义波特率预分频的值:波特率预分频值为8,分频后为9MHZSPI_InitStructure.SPI_FirstBit = SPI_FirstBit_MSB;                   //数据传输从MSB位开始  即高位先行SPI_InitStructure.SPI_CRCPolynomial = 7;                           //CRC校验位值计算的多项式(一般选这个就好了)SPI_Init(SPI2, &SPI_InitStructure);/* Enable SPI2  */SPI_Cmd(SPI2, ENABLE);                                               //使能SPI1外设
}//SPIx 读写一个字节(全双工同时进行)
//返回值:读取到的字节
//#define SPI_RW  SPI1_ReadWriteByte //代替函数名,以便适用于后面函数
u8  SPI2_ReadWriteByte(u8 dat)
{u8 t;    //获取标志位状态           等待发送位为空 才发送数据while( SPI_I2S_GetFlagStatus( SPI2,  SPI_I2S_FLAG_TXE) == RESET ){t ++;if(t >= 200){return 0;}}SPI_I2S_SendData(SPI2,dat);//接收位为空的话 等待接收while( SPI_I2S_GetFlagStatus(SPI2, SPI_I2S_FLAG_RXNE) == RESET ){t ++;if(t >= 200){return 0;}} return SPI_I2S_ReceiveData(SPI2);
}//设置SPI2速度(几分频)  前面结构体不是已经配置了吗?
void SPI2_SetSpeed(u8 SPI_BaudRatePrescaler)
{assert_param(IS_SPI_BAUDRATE_PRESCALER(SPI_BaudRatePrescaler));  SPI2->CR1 &=0XFFC7 ;  //寄存器CR1[3:5]位清0 (也叫波特率控制位BR)SPI2->CR1 |= SPI_BaudRatePrescaler ; //设置SPI2速度SPI_Cmd(SPI2,ENABLE);
}

NRF24L01无线射频模块

详细参照模块操作手册






NRF24L01厂家驱动代码移植

NRF24L01.h

#ifndef _nrf24l01_H
#define _nrf24l01_H#include "SPI.h"
#include "stm32f10x.h"//24L01操作线 一共8根线,其中两根电源线 以下为其余六根线做如下封装
#define NRF24L01_Port GPIOB
#define NRF24L01_CE   GPIO_Pin_8 //24L01片选信号
#define NRF24L01_CSN  GPIO_Pin_9 //SPI片选信号
#define NRF24L01_IRQ  GPIO_Pin_6  //IRQ主机数据输入//默认三根线跟SPI硬件有关#define NRF24L01_CSN_L   GPIO_ResetBits(NRF24L01_Port,NRF24L01_CSN)
#define NRF24L01_CSN_H   GPIO_SetBits(NRF24L01_Port,NRF24L01_CSN)
#define NRF24L01_CE_L   GPIO_ResetBits(NRF24L01_Port,NRF24L01_CE)
#define NRF24L01_CE_H   GPIO_SetBits(NRF24L01_Port,NRF24L01_CE)
#define NRF24L01_IRQ_L   GPIO_ResetBits(NRF24L01_Port,NRF24L01_IRQ)
#define NRF24L01_IRQ_H   GPIO_SetBits(NRF24L01_Port,NRF24L01_IRQ)
//24L01发送接收数据宽度定义
#define TX_ADR_WIDTH    5       //5字节的地址宽度
#define RX_ADR_WIDTH    5       //5字节的地址宽度
#define TX_PLOAD_WIDTH  32      //32字节的用户数据宽度
#define RX_PLOAD_WIDTH  32      //32字节的用户数据宽度//NRF24L01寄存器操作命令
#define NRF_READ_REG    0x00  //读配置寄存器,低5位为寄存器地址
#define NRF_WRITE_REG   0x20  //写配置寄存器,低5位为寄存器地址
#define RD_RX_PLOAD     0x61  //读RX有效数据,1~32字节
#define WR_TX_PLOAD     0xA0  //写TX有效数据,1~32字节
#define FLUSH_TX        0xE1  //清除TX FIFO寄存器.发射模式下用
#define FLUSH_RX        0xE2  //清除RX FIFO寄存器.接收模式下用
#define REUSE_TX_PL     0xE3  //重新使用上一包数据,CE为高,数据包被不断发送.
#define NOP             0xFF  //空操作,可以用来读状态寄存器
//SPI(NRF24L01)寄存器地址
#define CONFIG          0x00  //配置寄存器地址;bit0:1接收模式,0发射模式;bit1:电选择;bit2:CRC模式;bit3:CRC使能;//bit4:中断MAX_RT(达到最大重发次数中断)使能;bit5:中断TX_DS使能;bit6:中断RX_DR使能
#define EN_AA           0x01  //使能自动应答功能  bit0~5,对应通道0~5
#define EN_RXADDR       0x02  //接收地址允许,bit0~5,对应通道0~5
#define SETUP_AW        0x03  //设置地址宽度(所有数据通道):bit1,0:00,3字节;01,4字节;02,5字节;
#define SETUP_RETR      0x04  //建立自动重发;bit3:0,自动重发计数器;bit7:4,自动重发延时 250*x+86us
#define RF_CH           0x05  //RF通道,bit6:0,工作通道频率;
#define RF_SETUP        0x06  //RF寄存器;bit3:传输速率(0:1Mbps,1:2Mbps);bit2:1,发射功率;bit0:低噪声放大器增益
#define STATUS          0x07  //状态寄存器;bit0:TX FIFO满标志;bit3:1,接收数据通道号(最大:6);bit4,达到最多次重发//bit5:数据发送完成中断;bit6:接收数据中断;
#define MAX_TX          0x10  //达到最大发送次数中断
#define TX_OK           0x20  //TX发送完成中断
#define RX_OK           0x40  //接收到数据中断#define OBSERVE_TX      0x08  //发送检测寄存器,bit7:4,数据包丢失计数器;bit3:0,重发计数器
#define CD              0x09  //载波检测寄存器,bit0,载波检测;
#define RX_ADDR_P0      0x0A  //数据通道0接收地址,最大长度5个字节,低字节在前
#define RX_ADDR_P1      0x0B  //数据通道1接收地址,最大长度5个字节,低字节在前
#define RX_ADDR_P2      0x0C  //数据通道2接收地址,最低字节可设置,高字节,必须同RX_ADDR_P1[39:8]相等;
#define RX_ADDR_P3      0x0D  //数据通道3接收地址,最低字节可设置,高字节,必须同RX_ADDR_P1[39:8]相等;
#define RX_ADDR_P4      0x0E  //数据通道4接收地址,最低字节可设置,高字节,必须同RX_ADDR_P1[39:8]相等;
#define RX_ADDR_P5      0x0F  //数据通道5接收地址,最低字节可设置,高字节,必须同RX_ADDR_P1[39:8]相等;
#define TX_ADDR         0x10  //发送地址(低字节在前),ShockBurstTM模式下,RX_ADDR_P0与此地址相等
#define RX_PW_P0        0x11  //接收数据通道0有效数据宽度(1~32字节),设置为0则非法
#define RX_PW_P1        0x12  //接收数据通道1有效数据宽度(1~32字节),设置为0则非法
#define RX_PW_P2        0x13  //接收数据通道2有效数据宽度(1~32字节),设置为0则非法
#define RX_PW_P3        0x14  //接收数据通道3有效数据宽度(1~32字节),设置为0则非法
#define RX_PW_P4        0x15  //接收数据通道4有效数据宽度(1~32字节),设置为0则非法
#define RX_PW_P5        0x16  //接收数据通道5有效数据宽度(1~32字节),设置为0则非法
#define NRF_FIFO_STATUS 0x17  //FIFO状态寄存器;bit0,RX FIFO寄存器空标志;bit1,RX FIFO满标志;bit2,3,保留//bit4,TX FIFO空标志;bit5,TX FIFO满标志;bit6,1,循环发送上一数据包.0,不循环;
//
void NRF24L01_Init(void);                       //初始化
void NRF24L01_RX_Mode(void);                    //配置为接收模式
void NRF24L01_TX_Mode(void);                    //配置为发送模式
u8 NRF24L01_Write_Buf(u8 reg, u8 *pBuf, u8 u8s);//写数据区
u8 NRF24L01_Read_Buf(u8 reg, u8 *pBuf, u8 u8s); //读数据区
u8 NRF24L01_Read_Reg(u8 reg);                   //读寄存器
u8 NRF24L01_Write_Reg(u8 reg, u8 value);        //写寄存器
u8 NRF24L01_Check(void);                        //检查24L01是否存在
u8 NRF24L01_TxPacket(u8 *txbuf);                //发送一个包的数据
u8 NRF24L01_RxPacket(u8 *rxbuf);                //接收一个包的数据#endif

NRF24L01.c

#include "NRF24L01.h"
#include "stm32f10x.h"u8 tx_buf[33]="哞哞 ";
u8 rx_buf[33]={0};const u8 TX_ADDRESS[TX_ADR_WIDTH]={0x34,0x43,0x10,0x10,0x01}; //发送地址
const u8 RX_ADDRESS[RX_ADR_WIDTH]={0x34,0x43,0x10,0x10,0x01};//初始化24L01的IO口
void NRF24L01_Init(void)
{   GPIO_InitTypeDef GPIO_InitStructure;SPI_InitTypeDef  SPI_InitStructure;            //使能PB,F,D端口时钟  //PF8-CE  PF9-CSN  PD3-IRQRCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB, ENABLE);   GPIO_InitStructure.GPIO_Pin = GPIO_Pin_13;    //PG13上拉 防止EN25X的干扰GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;         //推挽输出GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;GPIO_Init(GPIOG, &GPIO_InitStructure); //初始化指定IOGPIO_SetBits(GPIOG,GPIO_Pin_13);//上拉GPIO_InitStructure.GPIO_Pin = NRF24L01_CSN|NRF24L01_CE;   //PF8 9 推挽    GPIO_Init(NRF24L01_Port, &GPIO_InitStructure);//初始化指定IOGPIO_ResetBits(NRF24L01_Port,NRF24L01_CSN|NRF24L01_CE);//PF6,7,8下拉GPIO_InitStructure.GPIO_Pin  = NRF24L01_IRQ;   GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IPD; //PD3 输入  GPIO_Init(NRF24L01_Port, &GPIO_InitStructure);GPIO_ResetBits(NRF24L01_Port,NRF24L01_IRQ);//PD3下拉SPI2_Init();            //初始化SPI     SPI_Cmd(SPI2, DISABLE); // SPI外设不使能SPI_InitStructure.SPI_Direction = SPI_Direction_2Lines_FullDuplex;  //SPI设置为双线双向全双工SPI_InitStructure.SPI_Mode = SPI_Mode_Master;      //SPI主机SPI_InitStructure.SPI_DataSize = SPI_DataSize_8b;       //发送接收8位帧结构SPI_InitStructure.SPI_CPOL = SPI_CPOL_Low;      //时钟悬空低SPI_InitStructure.SPI_CPHA = SPI_CPHA_1Edge;    //数据捕获于第1个时钟沿SPI_InitStructure.SPI_NSS = SPI_NSS_Soft;     //NSS信号由软件控制SPI_InitStructure.SPI_BaudRatePrescaler = SPI_BaudRatePrescaler_16;        //定义波特率预分频的值:波特率预分频值为16SPI_InitStructure.SPI_FirstBit = SPI_FirstBit_MSB;  //数据传输从MSB位开始SPI_InitStructure.SPI_CRCPolynomial = 7;  //CRC值计算的多项式SPI_Init(SPI2, &SPI_InitStructure);  //根据SPI_InitStruct中指定的参数初始化外设SPIx寄存器SPI_Cmd(SPI2, ENABLE); //使能SPI外设NRF24L01_CE_L;             //使能24L01NRF24L01_CSN_H;            //SPI片选取消
}//检测24L01是否存在
//返回值:0,成功;1,失败
u8 NRF24L01_Check(void)
{u8 buf[5]={0XA5,0XA5,0XA5,0XA5,0XA5};u8 i;SPI2_SetSpeed(SPI_BaudRatePrescaler_4); //spi速度为9Mhz(24L01的最大SPI时钟为10Mhz)      NRF24L01_Write_Buf(NRF_WRITE_REG+TX_ADDR,buf,5);//写入5个字节的地址.  NRF24L01_Read_Buf(TX_ADDR,buf,5); //读出写入的地址 for(i=0;i<5;i++)if(buf[i]!=0XA5)break;   if(i!=5)return 1;//检测24L01错误   return 0;        //检测到24L01
}//SPI写寄存器
//reg:指定寄存器地址
//value:写入的值
u8 NRF24L01_Write_Reg(u8 reg,u8 value)
{u8 status; NRF24L01_CSN_L;                 //使能SPI传输status =SPI2_ReadWriteByte(reg);//发送寄存器号 SPI2_ReadWriteByte(value);      //写入寄存器的值NRF24L01_CSN_H;                 //禁止SPI传输      return(status);                  //返回状态值
}//读取SPI寄存器值
//reg:要读的寄存器
u8 NRF24L01_Read_Reg(u8 reg)
{u8 reg_val;        NRF24L01_CSN_L;          //使能SPI传输      SPI2_ReadWriteByte(reg);   //发送寄存器号reg_val=SPI2_ReadWriteByte(0XFF);//读取寄存器内容NRF24L01_CSN_H;          //禁止SPI传输            return(reg_val);           //返回状态值
}   //在指定位置读出指定长度的数据
//reg:寄存器(位置)
//*pBuf:数据指针
//len:数据长度
//返回值,此次读到的状态寄存器值
u8 NRF24L01_Read_Buf(u8 reg,u8 *pBuf,u8 len)
{u8 status,u8_ctr;         NRF24L01_CSN_L;           //使能SPI传输status=SPI2_ReadWriteByte(reg);//发送寄存器值(位置),并读取状态值          for(u8_ctr=0;u8_ctr<len;u8_ctr++)pBuf[u8_ctr]=SPI2_ReadWriteByte(0XFF);//读出数据NRF24L01_CSN_H;       //关闭SPI传输return status;        //返回读到的状态值
}//在指定位置写指定长度的数据
//reg:寄存器(位置)
//*pBuf:数据指针
//len:数据长度
//返回值,此次读到的状态寄存器值
u8 NRF24L01_Write_Buf(u8 reg, u8 *pBuf, u8 len)
{u8 status,u8_ctr;      NRF24L01_CSN_L;          //使能SPI传输status = SPI2_ReadWriteByte(reg);//发送寄存器值(位置),并读取状态值for(u8_ctr=0; u8_ctr<len; u8_ctr++)SPI2_ReadWriteByte(*pBuf++); //写入数据    NRF24L01_CSN_H;       //关闭SPI传输return status;          //返回读到的状态值
}               //启动NRF24L01发送一次数据
//txbuf:待发送数据首地址
//返回值:发送完成状况
u8 NRF24L01_TxPacket(u8 *txbuf)
{u8 sta;SPI2_SetSpeed(SPI_BaudRatePrescaler_4);//spi速度为9Mhz(24L01的最大SPI时钟为10Mhz)   NRF24L01_CE_L;NRF24L01_Write_Buf(WR_TX_PLOAD,txbuf,TX_PLOAD_WIDTH);//写数据到TX BUF  32个字节NRF24L01_CE_H;//启动发送    while(GPIO_ReadOutputDataBit(NRF24L01_Port,NRF24L01_IRQ)!=0);//等待发送完成sta = NRF24L01_Read_Reg(STATUS);  //读取状态寄存器的值    NRF24L01_Write_Reg(NRF_WRITE_REG+STATUS,sta); //清除TX_DS或MAX_RT中断标志if(sta&MAX_TX)//达到最大重发次数{NRF24L01_Write_Reg(FLUSH_TX,0xff);//清除TX FIFO寄存器 return MAX_TX; }if(sta&TX_OK)//发送完成{return TX_OK;}return 0xff;//其他原因发送失败
}//启动NRF24L01发送一次数据
//txbuf:待发送数据首地址
//返回值:0,接收完成;其他,错误代码
u8 NRF24L01_RxPacket(u8 *rxbuf)
{u8 sta;                                           SPI2_SetSpeed(SPI_BaudRatePrescaler_8); //spi速度为9Mhz(24L01的最大SPI时钟为10Mhz)   sta=NRF24L01_Read_Reg(STATUS);  //读取状态寄存器的值        NRF24L01_Write_Reg(NRF_WRITE_REG+STATUS,sta); //清除TX_DS或MAX_RT中断标志if(sta&RX_OK)//接收到数据{NRF24L01_Read_Buf(RD_RX_PLOAD,rxbuf,RX_PLOAD_WIDTH);//读取数据NRF24L01_Write_Reg(FLUSH_RX,0xff);//清除RX FIFO寄存器 return 0; }    return 1;//没收到任何数据
}       //该函数初始化NRF24L01到RX模式
//设置RX地址,写RX数据宽度,选择RF频道,波特率和LNA HCURR
//当CE变高后,即进入RX模式,并可以接收数据了
void NRF24L01_RX_Mode(void)
{NRF24L01_CE_L;   NRF24L01_Write_Buf(NRF_WRITE_REG+RX_ADDR_P0,(u8*)RX_ADDRESS,RX_ADR_WIDTH);//写RX节点地址NRF24L01_Write_Reg(NRF_WRITE_REG+EN_AA,0x01);    //使能通道0的自动应答    NRF24L01_Write_Reg(NRF_WRITE_REG+EN_RXADDR,0x01);//使能通道0的接收地址       NRF24L01_Write_Reg(NRF_WRITE_REG+RF_CH,40);        //设置RF通信频率       NRF24L01_Write_Reg(NRF_WRITE_REG+RX_PW_P0,RX_PLOAD_WIDTH);//选择通道0的有效数据宽度         NRF24L01_Write_Reg(NRF_WRITE_REG+RF_SETUP,0x0f);//设置TX发射参数,0db增益,2Mbps,低噪声增益开启   NRF24L01_Write_Reg(NRF_WRITE_REG+CONFIG, 0x0f);//配置基本工作模式的参数;PWR_UP,EN_CRC,16BIT_CRC,接收模式 NRF24L01_CE_H; //CE为高,进入接收模式
}                   //该函数初始化NRF24L01到TX模式
//设置TX地址,写TX数据宽度,设置RX自动应答的地址,填充TX发送数据,选择RF频道,波特率和LNA HCURR
//PWR_UP,CRC使能
//当CE变高后,即进入RX模式,并可以接收数据了
//CE为高大于10us,则启动发送.
void NRF24L01_TX_Mode(void)
{                                                        NRF24L01_CE_L;     NRF24L01_Write_Buf(NRF_WRITE_REG+TX_ADDR,(u8*)TX_ADDRESS,TX_ADR_WIDTH);//写TX节点地址 NRF24L01_Write_Buf(NRF_WRITE_REG+RX_ADDR_P0,(u8*)RX_ADDRESS,RX_ADR_WIDTH); //设置TX节点地址,主要为了使能ACK    NRF24L01_Write_Reg(NRF_WRITE_REG+EN_AA,0x01);     //使能通道0的自动应答    NRF24L01_Write_Reg(NRF_WRITE_REG+EN_RXADDR,0x01); //使能通道0的接收地址  NRF24L01_Write_Reg(NRF_WRITE_REG+SETUP_RETR,0x1a);//设置自动重发间隔时间:500us + 86us;最大自动重发次数:10次NRF24L01_Write_Reg(NRF_WRITE_REG+RF_CH,40);       //设置RF通道为40NRF24L01_Write_Reg(NRF_WRITE_REG+RF_SETUP,0x0f);  //设置TX发射参数,0db增益,2Mbps,低噪声增益开启   NRF24L01_Write_Reg(NRF_WRITE_REG+CONFIG,0x0e);    //配置基本工作模式的参数;PWR_UP,EN_CRC,16BIT_CRC,接收模式,开启所有中断NRF24L01_CE_H;//CE为高,10us后启动发送
}

main.c

#include "stm32f10x.h"
#include "main.h"
#include "delay.h"
#include "sys.h"
#include "USART.h"
#include "NRF24L01.h"extern u8 tx_buf[33];//外部变量 头文件定义了
extern u8 rx_buf[33];int main(void)
{initSysTick();USART_init();//串口初始化  串口重定向printf打印调试使用NRF24L01_Init();while(NRF24L01_Check())   //检测NRF24L01是否存在{printf("Error  \n ");            }printf("Success  \n ");  NRF24L01_TX_Mode(); //发送模式while(1){         //发送函数  无线射频发送出去if(NRF24L01_TxPacket(tx_buf) == MAX_TX){printf("发送成功 数据为 %s \n",tx_buf);    }}
}

串口打印调试


USART.h

#ifndef _printf_H
#define _printf_H
#include "stm32f10x.h"
#include "stdio.h"
int fputc(int ch,FILE *p);
void USART_init(void);#endif

USART.c

#include "USART.h"int fputc(int ch,FILE *p)  //函数默认的,在使用printf函数时自动调用
{USART_SendData(USART1,(u8)ch); while(USART_GetFlagStatus(USART1,USART_FLAG_TXE)==RESET);return ch;
}/*******************************************************************************
* 函 数 名         : printf_init
* 函数功能         : IO端口及串口1,时钟初始化函数
* 输    入         : 无
* 输    出         : 无
*******************************************************************************/
void USART_init()   //printf初始化
{GPIO_InitTypeDef GPIO_InitStructure;   //声明一个结构体变量,用来初始化GPIONVIC_InitTypeDef NVIC_InitStructure;     //中断结构体定义USART_InitTypeDef  USART_InitStructure;     //串口结构体定义RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA|RCC_APB2Periph_USART1|RCC_APB2Periph_AFIO,ENABLE);GPIO_InitStructure.GPIO_Pin=GPIO_Pin_9;//TXGPIO_InitStructure.GPIO_Speed=GPIO_Speed_50MHz;GPIO_InitStructure.GPIO_Mode=GPIO_Mode_AF_PP;GPIO_Init(GPIOA,&GPIO_InitStructure);GPIO_InitStructure.GPIO_Pin=GPIO_Pin_10;//RXGPIO_InitStructure.GPIO_Mode=GPIO_Mode_IN_FLOATING;GPIO_Init(GPIOA,&GPIO_InitStructure);NVIC_PriorityGroupConfig(NVIC_PriorityGroup_1); NVIC_InitStructure.NVIC_IRQChannel = USART1_IRQn; NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 0; NVIC_InitStructure.NVIC_IRQChannelSubPriority = 1; NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE; NVIC_Init(&NVIC_InitStructure);USART_InitStructure.USART_BaudRate=9600;   //波特率设置为9600USART_InitStructure.USART_WordLength=USART_WordLength_8b;USART_InitStructure.USART_StopBits=USART_StopBits_1;USART_InitStructure.USART_Parity=USART_Parity_No;USART_InitStructure.USART_HardwareFlowControl=USART_HardwareFlowControl_None;USART_InitStructure.USART_Mode=USART_Mode_Rx|USART_Mode_Tx;USART_Init(USART1,&USART_InitStructure);USART_Cmd(USART1, ENABLE);USART_ITConfig(USART1, USART_IT_RXNE, ENABLE);//使能或者失能指定的USART中断 接收中断USART_ClearFlag(USART1,USART_FLAG_TC);//清除USARTx的待处理标志位
}

STM32使用SPI通信驱动2.4G无线射频模块发送数据相关推荐

  1. pixy php,Pixy2与STM32进行SPI通信

    简单来说,如果你通过检索从而看到这篇文章,那就假设你已经知道Pixy2是用来干什么的(一款功能强大的开源视觉传感器),以及你已拥有一些STM32基础.你可以通过访问官方手册来获得更多关于Pixy2的相 ...

  2. STM32通过SPI协议驱动OLED屏

    坚持就是胜利 一.SPI协议介绍 01 简介 02 SPI物理层 03 SPI基本通讯过程 二.OLED显示器介绍 01 简介 02 接口定义 03 与STM32接线图 三.汉字取模软件介绍 01 下 ...

  3. STM32模拟SPI协议驱动AD7908芯片

    最近时间,由于项目需求用到了AD7908的AD采集芯片,通过查阅其相关的技术手册之后,成功的实现了其AD采集的功能,下面分享一下我的一些经验. AD7908是一个8位高速.低功耗.8通道的ADC芯片, ...

  4. 【GD32F427开发板试用】硬件SPI通信驱动CH376芯片,用单片机实现U盘数据下载

    本篇文章来自极术社区与兆易创新组织的GD32F427开发板评测活动,更多开发板试用活动请关注极术社区网站.作者:周文杰 SPI通信作为单片机多种基础数据传输模式中的一种,驱动外部芯片CH376实现数据 ...

  5. Spi通信,写操作时,发送缓冲区非空停留在while里面。arm a5与w5500芯片udp网络通信。网络调试助手界面及sscom无法点击

    问题描述 w5500 与SAMA5D3x处理器通过硬件spi实现网络通信.采用w5500自带的函数进行udp通信,接口函数sendto,发送100Hz的数据一段时间后,程序停留在函数SPI_write ...

  6. server2008网卡驱动包_从网卡发送数据再谈TCP/IP协议—网络传输速度计算-网卡构造...

    在<在深谈TCP/IP三步握手&四步挥手原理及衍生问题-长文解剖IP>里面提到 单个TCP包每次打包1448字节的数据进行发送(以太网Ethernet最大的数据帧是1518字节,以 ...

  7. python树莓派串口通信实例_树莓派通过串口发送数据

    可以通过串口登陆树莓派,也可以通过串口向其他主机发送数据.树莓派的串口接受数据科技爱好者博客已经写过,可以参考这篇文章:树莓派与arduino串口通信实践.这篇文章教大家如果通过树莓派的串口发送数据. ...

  8. 以太网(ethernet)通信。DSP开发板28388D发送数据,电脑接收数据。使用的是UDP协议

    一.使用的开发板(DSP28388D): TMDSCNCD28388D(3核) 二.想要实现的目标: 电脑连到交换机A上,开发板连到交换机A上.电脑与开发板能够进行数据通信(暂时,先调开发板给电脑发送 ...

  9. STM32 OLED显示屏--SPI通信知识汇总

    备注:在OLED显示屏部分,单片机是通过SPI和OLED进行通信的. 文章目录 目录 文章目录 一.SPI时序通信 二.SPI通信的分类 1.硬件SPI 2.软件SPI 三.硬件SPI SPI特性 硬 ...

最新文章

  1. 电子科技大学计算机网络实验,计算机网络实验电子科技大学.doc
  2. BigDecimal 往左移动两位小数_一课研究之“让问题意识成为学生深度学习的钥匙——除数是整数的小数除法例谈”20190830...
  3. java cxf 调用wcf接口_JAVA 调用 WCF 服务流程
  4. 蓝桥杯-算法提高-凶手 断案
  5. 用 Python 分析今年考研形势
  6. 【转】WPF 给DataGridTextColumn统一加上ToolTip
  7. vue中v-bind指令的使用之Vue知识点归纳(七)
  8. 【database】oracle触发器基础
  9. Docker 视频教程 ( 猿课 )
  10. 冒险岛进去计算机丢失,找不到队伍怎么办?《冒险岛2》打杂攻略
  11. 【Unity】制作动画
  12. IEEE论文参考文献格式(bib)
  13. 欧拉降幂公式模板hdu4704
  14. 一枚钻戒如何成功借势世界杯,与粉丝秀恩爱
  15. 企业微信登录二维码样式修改
  16. beego框架-logs模块学习笔记
  17. 4399U3D笔试题
  18. centos6.9 yum安装中出现Loading mirror speeds from cached hostfile No package......
  19. 实现redis连接池以及管道
  20. NMEA0813协议简介

热门文章

  1. Python Web开发:开发wsgi中间件
  2. 黑客宣称掌握了600多万个Instagram账号的信息
  3. Nginx 反向代理 websocket 协议
  4. C++ exit 与 return 浅析
  5. Office安装源损坏
  6. 视觉标定,再来一波!!更简单粗暴!!!!!!
  7. halcon write_ocr_trainf 将训练字符存储到文件中
  8. matlab simulnk笔记07——模块(接地模块group、终止模块terminal、信号合并mux与分解模块demux)
  9. 学习笔记(47):Python实战编程-pack布局
  10. Linux_arm_启动_c语言部分详解,[原创]Linux arm 启动 c语言部分详解第四讲