12位ADC简介

12位ADC是一种逐次逼近型模拟数字转换器。它有多达19个通道,可测量16个外部和2个内部信号源和Vbat通道。各通道的A/D转换可以单次、连续、扫描或间断模式执行。ADC的结果可以左对齐或右对齐方式存储在16位数据寄存器中。
模拟看门狗特性允许应用程序检测输入电压是否超出用户定义的高/低阀值。
有16个多路通道。
STM32F407的ADC可谓是非常强大,有3个ADC每个ADC最大的采样率达到了0.41us(既2.4M),如果3个ADC在规则组模式下同时采样最快可以达到7.2M的采样率。
在讲解ADC采样之前ADC的时钟ADCCLK是一个非常关键的因素。ADCCLK的时钟来自于APB2(AHB一般为系统时钟的1分频168M,APB1为系统时钟的4分频42M,APB2为系统时钟的2分频84M),最终ADCCLK的时钟是通过Fpclk2通过2、4、6、8分频而来,在这里提一下stm32的时钟,其实理解一块stm32芯片理解其不同的时钟线是非常关键的事情,可能有些人看到Fpclk2可能就蒙了,其实Fpclk2就是APB2的意思这里自己可以在编程文档中找到。
在stm32F4-discovery开发板中adc的例程中系统的时钟总线为SYSCLK为144M,经过2分频到APB2为72M,ADCCLK如果采用最小的2分频为36M。
ADC的采样时间是按照:Tconv = Sampling time + 12 cycles,当采样时间为3cycles时,总的转换时间为15个ADCCLK,ADCCLK在36M下即为2.4M。可以这么说对于ADC的采样时间非常的重要。
stm32F4的ADC涉及到一个规则组和注入组的概念这里就不提了,自己可以通过查看文档来解决,其实就是转换的方式不同而已。
既然ad采样好了,那么对连续或交替的ad采样中数据的搬移是一个关键,这里stm32F4提供了3种高效的DMA搬运方法:
DMA模式1:单次触发模式,当ADC转换完成就进行ADC转换数据的搬移。
DMA模式2:2次转换触发,当有ADC1和ADC2同时交替工作时,每完成1次交替转换,就将ADC1的数据放在低半字,将ADC2的数据放在高半字。
当有ADC1和ADC2及ADC3同时交替工作时,每完成一次交替转换,就将就将ADC1的数据放在低半字,将ADC2的数据放在高半字,在下一次交替工作的时候将ADC3的数据放在低半字,将新一次的ADC1数据放在高半字。
DMA模式3:该模式类似于模式2,但是只能用于交替模式且转换位数为6或8位,每转换2个ADC将数据以半字存放。

在本章中只讲解最简单的ADC读取,然后通过串口输出

硬件资源连接
## 解析
通过一个形象的例子可以说明:假如你在家里的院子内放了5个温度探头,室内放了3个温度探头;你需要时刻监视室外温度即可,但偶尔你想看看室内的温度;因此你可以使用规则通道组循环扫描室外的5个探头并显示AD转换结果,当你想看室内温度时,通过一个按钮启动注入转换组(3个室内探头)并暂时显示室内温度,当你放开这个按钮后,系统又会回到规则通道组继续检测室外温度。从系统设计上,测量并显示室内温度的过程中断了测量并显示室外温度的过程,但程序设计上可以在初始化阶段分别设置好不同的转换组,系统运行中不必再变更循环转换的配置,从而达到两个任务互不干扰和快速切换的结果。可以设想一下,如果没有规则组和注入组的划分,当你按下按钮后,需要从新配置AD循环扫描的通道,然后在释放按钮后需再次配置AD循环扫描的通道。上面的例子因为速度较慢,不能完全体现这样区分(规则通道组和注入通道组)的好处,但在工业应用领域中有很多检测和监视探头需要较快地处理,这样对AD转换的分组将简化事件处理的程序并提高事件处理的速度。
STM32F4其ADC的规则通道组最多包含16个转换,而注入通道组最多包含4个通道。
STM32F4的ADC在单次转换模式下,只执行一次转换,该模式可以通过ADC_CR2寄存器的ADON位(只适用于规则通道)启动,也可以通过外部触发启动(适用于规则通道和注入通道),这时CONT位为0。
以规则通道为例,一旦所选择的通道转换完成,转换结果将被存在ADC_DR寄存器中,EOC(转换结束)标志将被置位,如果设置了EOCIE,则会产生中断。然后ADC将停止,直到下次启动
接下来,我们介绍一下我们执行规则通道的单次转换,需要用到的ADC寄存器。第一个要介绍的是ADC控制寄存器(ADC_CR1和ADC_CR2)。ADC_CR1的各位描述如图所示:

ADC_CR1的SCAN位,该位用于设置扫描模式,由软件设置和清除,如果设置为1,则使用扫描模式,如果为0,则关闭扫描模式。在扫描模式下,由ADC_SQRx或ADC_JSQRx寄存器选中的通道被转换。如果设置了EOCIE或JEOCIE,只在最后一个通道转换完毕后才会产生EOC或JEOC中断。
ADC_CR1[25:24]用于设置ADC的分辨率,详细的对应关系如图所示

本章我们使用12位分辨率,所以设置这两个位为0就可以了。接着我们介绍ADC_CR2,该寄存器的各位描述如图所示:
该寄存器我们也只针对性的介绍一些位:ADON位用于开关AD转换器。而CONT位用于设置是否进行连续转换,我们使用单次转换,所以CONT位必须为0。ALIGN用于设置数据对齐,我们使用右对齐,该位设置为0。

EXTEN[1:0]用于规则通道的外部触发使能设置,详细的设置关系如图所示

我们这里使用的是软件触发,即不使用外部触发,所以设置这2个位为0即可。ADC_CR2的SWSTART位用于开始规则通道的转换,我们每次转换(单次转换模式下)都需要向该位写1。

第二个要介绍的是ADC通用控制寄存器(ADC_CCR),该寄存器各位描述如图所示:

该寄存器我们也只针对性的介绍一些位:TSVREFE位是内部温度传感器和Vrefint通道使能位,内部温度传感器我们将在下一章介绍,这里我们直接设置为0。ADCPRE[1:0]用于设置ADC输入时钟分频,00~11分别对应2/4/6/8分频,STM32F4的ADC最大工作频率是36Mhz,而ADC时 钟(ADCCLK)来 自APB2,APB2频率一般是84Mhz,所以我们一般设置ADCPRE=01,即4分频,这样得到ADCCLK频率为21Mhz。MULTI[4:0]用于多重ADC模式选择,详细的设置关系如图所示:

本章我们仅用了ADC1(独立模式),并没用到多重ADC模式,所以设置这5个位为0即可

第三个要介绍的是ADC采样时间寄存器(ADC_SMPR1和ADC_SMPR2),这两个寄存器用于设置通道0~18的采样时间,每个通道占用3个位。ADC_SMPR1的各位描述如图所示:
对于每个要转换的通道,采样时间建议尽量长一点,以获得较高的准确度,但是这样会降低ADC的转换速率。ADC的转换时间可以由以下公式计算:

Tcovn=采样时间+12个周期

其中:Tcovn为总转换时间,采样时间是根据每个通道的SMP位的设置来决定的。例如,当ADCCLK=21Mhz的时候,并设置3个周期的采样时间,则得到:Tcovn=3+12=15个周期=0.71us。

第四个要介绍的是ADC规则序列寄存器(ADC_SQR1~3),该寄存器总共有3个,这几个寄存器的功能都差不多,这里我们仅介绍一下ADC_SQR1,该寄存器的各位描述如图所示:
L[3:0]用于存储规则序列的长度,我们这里只用了1个,所以设置这几个位的值为0。其他的SQ1316则存储了规则序列中第1316个通道的编号(0~18)。另外两个规则序列寄存器同ADC_SQR1大同小异,我们这里就不再介绍了,要说明一点的是:我们选择的是单次转换,所以只有一个通道在规则序列里面,这个序列就是SQ1,至于SQ1里面哪个通道,完全由用户自己设置,通过ADC_SQR3的最低5位(也就是SQ1)设置。

第五个要介绍的是ADC规则数据寄存器(ADC_DR)。规则序列中的AD转化结果都将被存在这个寄存器里面,而注入通道的转换结果被保存在ADC_JDRx里面。ADC_DR的各位描述如图:

这里要提醒一点的是,该寄存器的数据可以通过ADC_CR2的ALIGN位设置左对齐还是右对齐。在读取数据的时候要注意。

最后一个要介绍的ADC寄存器为ADC状态寄存器(ADC_SR),该寄存器保存了ADC转换时的各种状态。该寄存器的各位描述如图所示:

代码讲解

整体流程

① 开启PA时钟和ADC1时钟,设置PA1为模拟输入。

RCC_AHB1PeriphClockCmd (RCC_AHB1Periph_GPIOA, ENABLE);

RCC_APB2PeriphClockCmd(RCC_APB2Periph_ADC1, ENABLE);

GPIO_Init();

对于IO初始化要注意的是这里采用的模式为模拟输入模式:

GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AN;

② 复位ADC1:

ADC_DeInit();

其中的 ADC_Resolution选择了12位,因为寄存器为16位,放不满,所以还要设置它的对齐方式。只用到了一个通道,因此转换规则序列为1。
在库函数中,初始化CCR寄存器是通过调用ADC_CommonInit来实现的:

void ADC_CommonInit(ADC_CommonInitTypeDef*ADC_CommonInitStruct)

这里我们不再李处初始化结构体成员变量,而是直接看实例。初始化实例为:

ADC_CommonInitStructure.ADC_Mode =ADC_Mode_Independent;//独立模式

ADC_CommonInitStructure.ADC_TwoSamplingDelay= ADC_TwoSamplingDelay_5Cycles;

ADC_CommonInitStructure.ADC_DMAAccessMode =ADC_DMAAccessMode_Disabled;

ADC_CommonInitStructure.ADC_Prescaler =ADC_Prescaler_Div4;

ADC_CommonInit(&ADC_CommonInitStructure);//初始化

第一个参数ADC_Mode用来设置是独立模式还是多重模式,这里我们选择独立模式。

第二个参数ADC_TwoSamplingDelay用来设置两个采样阶段之间的延迟周期数。这个比较好理解。取值范围为:ADC_TwoSamplingDelay_5Cycles~ADC_TwoSamplingDelay_20Cycles。

第三个参数ADC_DMAAccessMode是DMA模式禁止或者使能相应DMA模式。

第四个参数ADC_Prescaler用来设置ADC预分频器。这个参数非常重要,这里我们设置分频系数为4分频ADC_Prescaler_Div4,保证ADC1的时钟频率不超过36MHz。

3)初始化ADC1参数,设置ADC1的转换分辨率,转换方式,对齐方式,以及规则序列等相关信息。

在设置完分通用控制参数之后,我们就可以开始ADC1的相关参数配置了,设置单次转换模式、触发方式选择、数据对齐方式等都在这一步实现。具体的使用函数为:

void ADC_Init(ADC_TypeDef* ADCx,ADC_InitTypeDef* ADC_InitStruct)

初始化实例为:

ADC_InitStructure.ADC_Resolution =ADC_Resolution_12b;//12位模式

ADC_InitStructure.ADC_ScanConvMode =DISABLE;//非扫描模式

ADC_InitStructure.ADC_ContinuousConvMode =DISABLE;//关闭连续转换

ADC_InitStructure.ADC_ExternalTrigConvEdge= ADC_ExternalTrigConvEdge_None;

//禁止触发检测,使用软件触发

ADC_InitStructure.ADC_DataAlign =ADC_DataAlign_Right;//右对齐

ADC_InitStructure.ADC_NbrOfConversion =1;//1个转换在规则序列中

ADC_Init(ADC1,&ADC_InitStructure);//ADC初始化

第一个参数ADC_Resolution用来设置ADC转换分辨率。取值范围为:ADC_Resolution_6b,

ADC_Resolution_8b,ADC_Resolution_10b和ADC_Resolution_12b。

第二个参数ADC_ScanConvMode用来设置是否打开扫描模式。这里我们设置单次转换所以不打开扫描模式,值为DISABLE。

第三个参数ADC_ContinuousConvMode用来设置是单次转换模式还是连续转换模式,这里我们是单次,所以关闭连续转换模式,值为DISABLE。

第三个参数ADC_ExternalTrigConvEdge用来设置外部通道的触发使能和检测方式。这里我们直接禁止触发检测,使用软件触发。还可以设置为上升沿触发检测,下降沿触发检测以及上升沿和下降沿都触发检测。

第四个参数ADC_DataAlign 用来设置数据对齐方式。取值范围为右对齐

ADC_DataAlign_Right和左对齐ADC_DataAlign_Left。

第五个参数ADC_NbrOfConversion用来设置规则序列的长度,这里我们是单次转换,所以值为1即可。

实际上还有个参数ADC_ExternalTrigConv是用来为规则组选择外部事件。因为我们前面配置的是软件触发,所以这里我们可以不用配置。如果选择其他触发方式方式,这里需要配置。

4)开启AD转换器。

在设置完了以上信息后,我们就开启AD转换器了(通过ADC_CR2寄存器控制)。

ADC_Cmd(ADC1, ENABLE);//开启AD转换器

5)读取ADC值。

在上面的步骤完成后,ADC就算准备好了。接下来我们要做的就是设置规则序列1里面的通道,然后启动ADC转换。在转换结束后,读取转换结果值值就是了。

这里设置规则序列通道以及采样周期的函数是:

void ADC_RegularChannelConfig(ADC_TypeDef*ADCx, uint8_t ADC_Channel,

uint8_t Rank, uint8_t ADC_SampleTime);

我们这里是规则序列中的第1个转换,同时采样周期为480,所以设置为:

ADC_RegularChannelConfig(ADC1,ADC_Channel_5, 1, ADC_SampleTime_480Cycles );

软件开启ADC转换的方法是:

ADC_SoftwareStartConvCmd(ADC1);//使能指定的ADC1的软件转换启动功能

开启转换之后,就可以获取转换ADC转换结果数据,方法是:

ADC_GetConversionValue(ADC1);

同时在AD转换中,我们还要根据状态寄存器的标志位来获取AD转换的各个状态信息。库函数获取AD转换的状态信息的函数是:

FlagStatus ADC_GetFlagStatus(ADC_TypeDef*ADCx, uint8_t ADC_FLAG)

比如我们要判断ADC1的转换是否结束,方法是:

while(!ADC_GetFlagStatus(ADC1, ADC_FLAG_EOC));//等待转换结束

这里还需要说明一下ADC的参考电压,探索者STM32F4开发板使用的是STM32F407ZGT6,该芯片只有Vref+参考电压引脚,Vref+的输入范围为:1.8~VDDA。探索者STM32F4开发板通过P7端口,来设置Vref+的参考电压,默认的我们是通过跳线帽将ref+接到VDDA,参考电压就是3.3V。如果大家想自己设置其他参考电压,将你的参考电压接在Vref+上就OK了(注意要共地)。另外,对于还有Vref-引脚的STM32F4芯片,直接就近将Vref-接VSSA就可以了。

⑧ 等待转换完成,读取ADC值。

用while判断转换是否完成,调取ADC_GetFlagStatus可以知道当前转换的状态。

最后返回ADC_GetConversionValue(ADC1)的值。

在主函数只需对读取到的数值进行相应的转换输出即可。

#include"stm32f4xx.h"
#include"sys.h"
#include<stdio.h>
static GPIO_InitTypeDef         GPIO_InitStructure;
static NVIC_InitTypeDef         NVIC_InitStructure;
static USART_InitTypeDef        USART_InitStructure;
static ADC_InitTypeDef          ADC_InitStructure;
static ADC_CommonInitTypeDef    ADC_CommonInitStructure;
void delay_ms(uint32_t n)
{while(n--){SysTick->CTRL = 0;              // Disable SysTick,关闭系统定时器SysTick->LOAD = (168000)-1;    // 配置计数值(168000)-1 ~ 0SysTick->VAL  = 0;        // Clear current value as well as count flagSysTick->CTRL = 5;      // Enable SysTick timer with processor clockwhile ((SysTick->CTRL & 0x10000)==0);// Wait until count flag is set}SysTick->CTRL = 0;        // Disable SysTick  }void delay_us(uint32_t n)
{while(n--){SysTick->CTRL = 0;              // Disable SysTick,关闭系统定时器SysTick->LOAD = (168)-1;   // 配置计数值(168000)-1 ~ 0SysTick->VAL  = 0;        // Clear current value as well as count flagSysTick->CTRL = 5;      // Enable SysTick timer with processor clockwhile ((SysTick->CTRL & 0x10000)==0);// Wait until count flag is set}SysTick->CTRL = 0;        // Disable SysTick  }
void usart1_init(uint32_t baud)
{//端口A硬件是能RCC_AHB1PeriphClockCmd(RCC_AHB1Periph_GPIOA, ENABLE);//串口硬件是能RCC_APB2PeriphClockCmd(RCC_APB2Periph_USART1, ENABLE);GPIO_InitStructure.GPIO_Pin=GPIO_Pin_9|GPIO_Pin_10;GPIO_InitStructure.GPIO_Mode=GPIO_Mode_AF ;GPIO_InitStructure.GPIO_Speed=GPIO_Speed_100MHz;GPIO_InitStructure.GPIO_OType=GPIO_OType_PP;GPIO_InitStructure.GPIO_PuPd=GPIO_PuPd_NOPULL;GPIO_Init(GPIOA,&GPIO_InitStructure);//PF9应交连接到串口GPIO_PinAFConfig(GPIOA,GPIO_PinSource9,GPIO_AF_USART1);GPIO_PinAFConfig(GPIOA,GPIO_PinSource10,GPIO_AF_USART1);//配置串口USART_InitStructure.USART_BaudRate = baud;//波特率USART_InitStructure.USART_WordLength = USART_WordLength_8b;//数据为USART_InitStructure.USART_StopBits = USART_StopBits_1;//停止位USART_InitStructure.USART_Parity = USART_Parity_No;//无奇偶效验为USART_InitStructure.USART_HardwareFlowControl = USART_HardwareFlowControl_None;//无硬件流控制USART_InitStructure.USART_Mode = USART_Mode_Rx | USART_Mode_Tx;//串口方式 允许收发数据USART_Init(USART1, &USART_InitStructure);//配置中断触发方式USART_ITConfig(USART1,USART_IT_RXNE,ENABLE);//配置终端优先级NVIC_InitStructure.NVIC_IRQChannel = USART1_IRQn;NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 0;NVIC_InitStructure.NVIC_IRQChannelSubPriority = 1;NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;NVIC_Init(&NVIC_InitStructure);//使能串口工作USART_Cmd(USART1, ENABLE);}void adc_Init(void)
{RCC_APB2PeriphClockCmd(RCC_APB2Periph_ADC1,ENABLE);//使能ADCRCC_AHB1PeriphClockCmd(RCC_AHB1Periph_GPIOA,ENABLE);//ADC_CommonInitTypeDef ADC_CommonInitStructure;//配置端口模式GPIO_InitStructure.GPIO_Pin=GPIO_Pin_5;GPIO_InitStructure.GPIO_Mode=GPIO_Mode_AN;GPIO_InitStructure.GPIO_PuPd= GPIO_PuPd_NOPULL;GPIO_Init(GPIOA,&GPIO_InitStructure);ADC_TempSensorVrefintCmd(ENABLE);//使能内部温度传感器ADC_CommonInitStructure.ADC_Mode = ADC_Mode_Independent;//独立工作模式ADC_CommonInitStructure.ADC_Prescaler = ADC_Prescaler_Div2;//分屏ADC_CommonInitStructure.ADC_DMAAccessMode = ADC_DMAAccessMode_Disabled;//不需要映射数据ADC_CommonInitStructure.ADC_TwoSamplingDelay = ADC_TwoSamplingDelay_5Cycles;ADC_CommonInit(&ADC_CommonInitStructure);ADC_InitStructure.ADC_Resolution = ADC_Resolution_12b;//精度ADC_InitStructure.ADC_ScanConvMode = DISABLE;//单通道,否则为多通道ADC_InitStructure.ADC_ContinuousConvMode = DISABLE;//不断的转换ADC_InitStructure.ADC_ExternalTrigConvEdge = ADC_ExternalTrigConvEdge_None;//不需要内部脉冲出发//  ADC_InitStructure.ADC_ExternalTrigConv = ADC_ExternalTrigConv_T1_CC1;ADC_InitStructure.ADC_DataAlign = ADC_DataAlign_Right;//右对齐存储ADC_InitStructure.ADC_NbrOfConversion = 1;//几个转换通道ADC_Init(ADC1,&ADC_InitStructure);//通道链接PA5ADC_RegularChannelConfig(ADC1, ADC_Channel_5, 1, ADC_SampleTime_56Cycles);ADC_Cmd(ADC1,ENABLE);}
struct __FILE{int handle;};
FILE __stdout;
FILE __stdin;int fputc(int ch,FILE *f)
{USART_SendData(USART1,ch);while(USART_GetFlagStatus(USART1,USART_FLAG_TXE)==RESET);return ch;
}
u16 Get_Adc(u8 ch)
{//设置指定 ADC 的规则组通道,一个序列,采样时间
ADC_RegularChannelConfig(ADC1, ch, 1, ADC_SampleTime_480Cycles );
ADC_SoftwareStartConv(ADC1); //使能指定的 ADC1 的软件转换启动功能
while(!ADC_GetFlagStatus(ADC1, ADC_FLAG_EOC ));//等待转换结束
return ADC_GetConversionValue(ADC1); //返回最近一次 ADC1 规则组的转换结果
}u16 Get_Adc_Average(u8 ch,u8 times)
{u32 temp_val=0; u8 t;
for(t=0;t<times;t++)
{temp_val+=Get_Adc(ch); delay_ms(5);
}
return temp_val/times;
}
short Get_Temprate(void)
{u32 adcx; short result;double temperate;adcx=Get_Adc_Average(ADC_Channel_16,20); //读取通道 16,20 次取平均temperate=(float)adcx*(3.3/4096); //电压值temperate=(temperate-0.76)/0.0025+25; //转换为温度值result=temperate*=100; //扩大 100 倍.return result;
}int main(void)
{uint16_t adc_val,adc_v,temp;//波特率为115200usart1_init(115200);printf("ADC test\r\n");adc_Init();ADC_SoftwareStartConv(ADC1);//启动ADC1转换while(1){//等待转换完毕while(ADC_GetFlagStatus(ADC1,ADC_FLAG_EOC)==RESET);ADC_ClearFlag(ADC1,ADC_FLAG_EOC);adc_val=ADC_GetConversionValue(ADC1);adc_v=adc_val*3300/4095;printf("电压为:%dmv\r\n",adc_v);printf("剩余点亮%d%% \r\n",adc_v/33);delay_ms(1000);temp=Get_Temprate(); printf("temp=%d.%d\r\n",temp/100,temp%100);}
}void USART1_IRQHandler(void)
{uint8_t d=0;  //判断标志位iif(USART_GetFlagStatus(USART1,USART_IT_RXNE)==SET){d=USART_ReceiveData(USART1);//if(d==0x01)PFout(10)=0;if(d==0xf1)PFout(10)=1;USART_ClearITPendingBit(USART1,USART_IT_RXNE);}//清空标志位}  

STM32f4系列ADC解析相关推荐

  1. STM32F4系列ADC最大转换速率及操作条件(以STM32F407ZGT6为例)

    STM32F4系列ADC最大转换速率及操作条件(以STM32F407ZGT6为例) 前言 一.如何获取数据手册? 二.STM32F4系列ADC相关基础 ADC时钟 ADC采样时间 ADC分辨率 三.一 ...

  2. STM32F4系列探究1——三重ADC扫描连续采样+DMA双缓冲区存储

    文章目录 前言 一.STM32F4的ADC理论知识学习 1.基础知识 2.扫描模式与连续模式 3.单ADC模式下双缓存机制的实现 4.三重同步采样模式 二.由定时器触发的单缓冲区模式 1.时钟树配置 ...

  3. STM32F4之ADC介绍

    1. STM32F4 ADC 简介     STM32F4xx 系列一般都有 3 个 ADC,这些 ADC 可以独立使用,也可以使用双重/三重模式(提高采样率). STM32F4 的 ADC 是 12 ...

  4. STM32F4系列单片机选型详解

    一. 简介 本篇文章将全面介绍STM32F4系列单片机的详细情况,在实际项目中对F4系列的选型具有一定的指导意义. 那里有广告!!!! 二. 整体介绍 整个F4可以分为三个系列: 入门型系列, 基础系 ...

  5. XML系列之--解析电文格式的XML(二)

    上一节介绍了XML的结构以及如何创建.讲到了XML可作为一种简单文本存储数据,把数据存储起来,以XML的方式进行传递.当接收到XML时,必不可少的就是对其进行解析,捞取有效数据,或者将第三方数据以节点 ...

  6. stm32F4的ADC+DMA+Timer,实现2MHz连续采样。1LSB分辨率,极低噪声。

    1. ADC+DMA+Timer的实现原理 stm32F407/405的ADC为12位逐次逼近型ADC,有着高达2.4MHz的采样率,分辨率 1LSB.这样参数的ADC放在市面上单卖,也起码是¥10+ ...

  7. vscode编译、调试stm32F4系列mcu的程序

    捣腾很长时间了,用vscode编译.调试stm32F4系列mcu的程序.记录下. launch.json @TOC // AUTOMATICALLY GENERATED FILE. PLEASE DO ...

  8. STM32F4系列定时器简介

    STM32F4系列 参考<stm32f4参考手册> STM32F4时钟系统 系统时钟最大值180MHz,systick的时钟源是系统时钟HCLK/8或HCLK:systick默认是使用HC ...

  9. 基于STM32F407的ADC解析-ADC1多通道扫描模式电压采集实验(启用DMA传输数据)

    目录 一:STM32F4的ADC简介 1.1 ADC简介(不看也行) 1.2 ADC的供电及采样电压 二:实验步骤及代码 2.1实验步骤 2.2实验代码 2.2.1 ADC初始化代码 2.2.2 DM ...

最新文章

  1. KNN(k-NearestNeighbor)
  2. CentOS 7.4 安装 MySQL 5.6.40 完美教程
  3. ECShop 模板库项目功能详解
  4. AtomicInteger源码分析——基于CAS的乐观锁实现
  5. 通过避免下列 10 个常见 ASP.NET 缺陷使网站平稳运行
  6. Matlab 图像采集工具的使用 - Image Acquisition Toolbox【IAT】 + 大恒相机的应用【1】+多个摄像头支持
  7. ajax请求携带tooken_当ajax碰到token的时候
  8. 《OpenGL编程指南(原书第9版)》——2.3 OpenGL着色语言概述
  9. 值得收藏的50个学习C语言的源代码网站
  10. 想在体制内吃得开,不要有这5种表现,不受领导待见,越混越糟糕
  11. 动手学TCP——CS144实验感想
  12. OSChina 周五乱弹 —— 谁家做的饭好吃 问新垣吉衣
  13. PCM开发板模块实验指导--2.4G无线通讯模块NRF24L01实验
  14. 洛谷P2851 [USACO06DEC]The Fewest Coins G 题解
  15. Android中的动画(二)和ButterKnife (黄油刀)
  16. Qt 自定义标题栏,最小化、最大化、关闭窗口,双击最大化,鼠标拖动等效果实现
  17. 不等式大两边小中间_不等式取值范围口诀
  18. I met my soulmate. She didn't.
  19. docker namespaces
  20. python教你如何跳过验证识别登录并自动发送弹幕

热门文章

  1. android+x86+远程桌面,Chrome远程桌面和Android模拟器
  2. ASII码:小写字符比大写字符大32
  3. nginx的日志格式记录真实客户端IP
  4. 怎么设置cmd 以管理员身份运行
  5. echarts统计图表
  6. heaptargetutilization/heapmaxfree/heapminfree/heapstartsize/multiplier虚拟机参数的配置
  7. INV TXN MANAGER PUB PROCESS TRANSACTIONS
  8. oracle txn,【学习笔记】ORACLE分布式事务故障的处理 结合MOS官方文档分析
  9. oracle数据库报错1033,ORACLE出现错误1033和错误ORA-00600的解决方法
  10. vistor和tsmserver不在同一台机器上的连接问题