这是数字信号处理系列的第一篇,以简单的数字混频为例,介绍在FPGA程序设计中很重要的二进制原码、补码;有符号数、无符号数的问题。本文不是像课本那样介绍这些基础概念,而是介绍很实际的设计方法。

借助于数字混频这个设计,本文还会介绍用途非常广泛的Altera公司Quartus中的NCO IP核、Xilinx公司Vivado中的DDS Compiler IP核的具体使用方法。

混频原理

混频就是把两个不同的频率信号混合,得到第三个频率。在模拟电路中经常见到的就是把接收机接收到的高频信号,经过混频变成中频信号,再进行中频放大,以提高接收机的灵敏度。

数字电路中最简单的混频便是两个信号做乘法,可以得到它们的和频信号与差频信号。数字混频在通信的调制、解调、DUC(数字上变频)、DDC(数字下变频)等系统中应用广泛。通常把其中一个信号称为本振信号(local oscillator),另一个信号称为混频器的输入信号。

程序设计

本文的程序设计参考自杜勇老师的书《数字滤波器的MATLAB与FPGA实现-Altera/Verilog版》,对其中部分设计细节做了修改。杜勇老师的这个系列共有三本书,很推荐大家购买学习。不过可能由于篇幅有限,杜勇老师在书中对设计的一些细节和思想没有做详细介绍,博主在本文中和大家讨论讨论。

程序设计系统时钟5MHz,625kHz的输入信号与625kHz的本振信号做混频,根据混频原理会得到1.25MHz的和频信号与0Hz(直流),将直流滤除掉得到1.25MHz的有效信号。

设计的顶层模块接口如下所示:

module Mixer
(input clk,            //5MHz系统时钟input rst_n,          //低电平有效复位信号input [9:0] din,      //输入信号output [9:0] s_oc,    //本振信号,625kHzoutput out_valid,     //NCO输出有效信号output [19:0] dout    //混频输出信号
);

程序中首先生成本振信号。Quartus和Vivado中都提供了类似功能的IP核:Vivado中叫DDS(Direct Digital Synthesizers)Compiler;Quartus中叫NCO(Numerically controlled oscillators)。下面以实例化NCO为例,具体的设计方法在下文讲解。

wire [9:0] oc_sin;oc oc
(.phi_inc_i  (16'd8192),   //相位增量,对应625kHz.clk        (clk),        .reset_n    (rst_n),      .clken      (1'b1),       //时钟允许信号.fsin_o     (oc_sin),     //本振正弦信号.out_valid  (out_valid)   //输出有效标志
);

接下来用乘法进行混频。我们都知道计算机中有带符号数signed和无符号数unsigned,还知道计算机经常以二进制补码的形式的表示带符号数。

在FPGA设计中,不管是Altera还是Xilinx,它们的IP核几乎都是采用二进制补码带符号数,也有很多的ADC、DAC芯片的数据接口也采用的是二进制补码。因此,在设计中,我们要清楚什么时候用什么数值表示法。

比如NCO的输出为带符号数二进制补码,假设混频的输入信号也是带符号数二进制补码,则在整个混频程序设计中都要保持这个数值表示方法,否则就会出错。

在下面的方法1中,再定义一个带符号的寄存器将输入信号转换为带符号数是很有必要的:“wire signed [9:0] din_s = din;”。如果不这样做,直接使用乘法运算符“*”,会被综合为无符号数乘法,得到的就是错误的结果。

当然也可以用方法2,乘法器IP核可以选择计算方式是“signed”还是“unsigned”,将乘法器设置为signed也可以完成正确的计算。

//----------------------------------------------------
//     乘法实现混频,方法1,转换为带符号数后再相乘
//----------------------------------------------------
//reg signed [19:0] mult;
//wire signed [9:0] din_s = din;
//wire signed [9:0] oc_sin_s = oc_sin;
//
//always @(posedge clk or negedge rst_n)
//  if (!rst_n) mult <= 20'd0;
//  else mult <= din_s * oc_sin_s;//----------------------------------------------------
//     乘法实现混频,方法2,调用乘法器IP核,设置为signed
//----------------------------------------------------
wire signed [19:0] mult; mult1   mult1_inst (.clock ( clk ),.dataa ( din ),.datab ( oc_sin ),.result ( mult ));

接下来滤除混频后的直流信号。由于乘法的运算结果为带符号数,接下来的计算使用到的寄存器都应申明为signed。

需要强调的是,signed和unsigned的申明只是告诉设计的运算如何看待这个寄存器中的数,并不能改变寄存器的值。比如“11”这个值,如果申明为signed,运算将其视作-1,如果申明为unsigned,运算将其视作3。因为有符号数和无符号数的运算法则是不一样的,所以错误的申明会导致结果计算错误。

reg signed [19:0] m1,m2,m3,m4,m5,m6,m7;// 5MHz/625kHz=8; 缓存连续8个点的值
always @ (posedge clk or negedge rst_n)if (!rst_n) beginm1 <= 20'd0; m2 <= 20'd0; m3 <= 20'd0;m4 <= 20'd0; m5 <= 20'd0; m6 <= 20'd0; m7 <= 20'd0;endelse beginm1 <= mult; m2 <= m1; m3 <= m2; m4 <= m3; m5 <= m4; m6 <= m5; m7 <= m6;endwire signed [22:0] madd = mult+m1+m2+m3+m4+m5+m6+m7;     //一个周期
wire signed [19:0] mean = madd[22:3];   //舍掉低3bit,相当于除8,得到
wire signed [19:0] mt = mult - mean;    //滤除直流分量
assign dout = mt;
assign s_oc = oc_sin;   endmodule

上面滤除直流分量的方法并不通用,由于5Mhz的系统时钟是625kHz信号的8倍,所以连续8个点的平均值便是直流分量。不过程序设计思路还是可以学习,比如依次移位缓存数据、截高位做除法这些小技巧。

完整的Quartus工程(含testbench仿真)可以在这里下载:https://download.csdn.net/download/fpgadesigner/10447557
该testbench的编写方法在“Testbench编写指南(一)文件的读写操作”中: https://blog.csdn.net/fpgadesigner/article/details/80470972

NCO IP核的使用

在Quartus中打开该IP核,配置界面如下。后面的Quartus版本中将IP核集成到了qsys中,配置界面略有不同,但设置的参数之类的基本一样。

设定好相位累加器精度、角度分辨率、幅度精度、系统时钟和输出信号频率,便可以得到一个相位增量值(phase increment value),在实例化NCO模块时传入的便是这个值。实际的输出频率和设定的频率会存在一定误差,下方便展示了输出信号的频域和时域图形。总体来说设置比较简单。

如果需要仿真,在生成IP核前一定要在“Set up simulation”中选中“Generate Simulation Model”和“Generate netlist”,如下图所示。否则在导入ModelSim时会失败,无法进行仿真。

DDS Compiler IP核的使用

基本配置

在Vivado中打开DDS Compiler IP核,配置界面如下:

这个IP核的配置选项更丰富,提供的功能也更强大。我这里只介绍下本设计用到的功能,其余具体信息可以参考Xilinx官方文档pg141。

同样设定系统时钟,Parameter Selection选择“System Parameters”,这种设计方式可以直接设置无杂散动态范围、频率分辨率、输出频率等系统级的参数,和Quartus的NCO IP核很像。另外一种“Hardware Parameters”设计方式需要自己设定输出数据和相移的位宽,输出频率、相位偏移等值需要自己计算对应的二进制数值。这两种设计方式向不同需求的设计者提供。

pg141中给出了总线位宽与系统参数之间的转换关系公式。

相位增量和相位偏移都可以设置为可编程的“Programmable”和“Streaming”方式,本设计只需要产生625kHz固定频率的本振信号,设置为“Fixed”即可(所需资源少)。在“Summary”中可以看到整个DDS系统的详细信息。

位宽问题

需要提醒的是系统最终的实际信号位宽和总线接口位宽并不一致。IP核的位宽只会是8的倍数,多余的位数会移符号为填充,如下图所示。

更直观的感受,看一个DDS Compiler IP核的仿真:

可以看到相移虽然有16bit的位宽,但是有效的只有低10bit,高位都是符号为。为了更好的观察相位值,新建一个“virtual bus“,将低10bit加到bus中,如下图所示:

可以清楚的看到相位和幅度之间的关系。

产生sin与cos信号

很多系统中需要sin和cos信号(如解调系统中的本振信号),在DDS中设置为“Sine and Cosine”输出时,sin和cos信号会共用数据总线,sin使用高字节,cos使用低字节,格式如下:

产生带有相位偏移的信号

如果需要生成带有可调初始相位(也叫相位偏移Phase Offset)的信号,在DDS中将“Phase Offset Programmability”设置为“Streaming”,IP核端口会增加一个PHASE输入通道,该通道数据总线的有效位宽与设置的频率分辨率(Frequency Resolution)有关,可以在Summary界面中看到位宽(Phase Width)。该数据总线与360°相位之间线性对应。比如Phase Width为16Bits,则0对应0°,FFFF对应360°,7FFF对应180°,以此类推。

NCO和DDS是经常用到的IP核,在后面的“FPGA数字信号处理“系列介绍的其它系统中,也会经常出现,因此需要熟悉掌握这两个IP核的使用。

FPGA数字信号处理(一)数字混频(NCO与DDS的使用)相关推荐

  1. rbw数字信号处理_数字信号处理与模拟IC设计

    马上注册,结交更多好友,享用更多功能,让你轻松玩转社区. 您需要 登录 才可以下载或查看,没有帐号?注册 x 本帖最后由 血荐轩辕HIT 于 2019-12-15 23:38 编辑 信号与系统.数字信 ...

  2. 【数字信号处理】数字信号处理简介 ( 抽样定理 | 多抽样率 )

    文章目录 一.DSP 知识领域 二.抽样定理 三.多抽样率 一.DSP 知识领域 DSP 领域组成 : 信号采集 : A/D 采样 , 抽样定理 , 多抽样率 , 量化噪声分析 ; 离散时间信号分析 ...

  3. 【数字信号处理】数字信号处理简介 ( DSP 定义 | DSP 知识领域 | A/D 转换 )

    文章目录 一.DSP 定义 二.DSP 知识领域 三.A/D 转换 1.采样示例 1 2.采样示例 2 一.DSP 定义 DSP 定义 : 研究 使用 数字 或 符号序列 表示信号 , 以及 对这些序 ...

  4. 新书预告:Xilix FPGA数字信号处理设计——基础版

    掌握FPGA数字信号处理设计需满足三个条件:熟悉FPGA设计方法.理解数字信号处理理论.掌握理论的工程实现方法.对初学者来讲,每个条件看似都难以逾越.杜勇老师完美融合课程教学与工程设计的需求特点,以独 ...

  5. 通信与处理平台(数字信号处理)

    1.数字核心处理卡 数字核心处理卡是一款基于6U VPX总线架构的高性能数据预处理FMC载板,板卡具有2个FMC(HPC)接口,可用于扩展宽带AD/DA子卡.光纤转换子卡.射频子卡等. 板卡对外提供1 ...

  6. c语言实现1024点fft程序,数字信号处理的步骤与注意事项,并编写1024个采样点的FFT C语言程序...

    数字信号处理的步骤与注意事项,并编写1024个采样点的FFT C语言程序 1. 数字信号处理 1.1 数字信号处理概述 数字信号处理是研究如何用数字或符号序列来表示信号以及如何对这些序列进行处理的一门 ...

  7. FPGADesigner《FPGA数字信号处理系列》目录与传送门

    FPGA数字信号处理(1)数字混频(NCO与DDS的使用): https://blog.csdn.net/fpgadesigner/article/details/80512067 FPGA数字信号处 ...

  8. 数字信号处理的fpga实现_FPGA数字信号处理:通信类I/Q信号及产生

    大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分.大侠可以关注FPGA技术江湖,在"闯荡江湖"."行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢. ...

  9. (224)FPGA数字信号处理入门指导

    (224)FPGA数字信号处理入门指导 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)FPGA数字信号处理入门指导 5)技术交流 6)参考资料 2 FPGA入门与提 ...

最新文章

  1. (转)NGUI研究院之三种方式监听NGUI的事件方法
  2. 函数的初识;函数的返回值;函数的传参
  3. 从传统操作系统角度理解Hadoop YARN
  4. 3DSlicer相关资料汇总
  5. hibernate by example 排除某些列
  6. SAP UI5 应用启动(bootstrap)过程单步调试
  7. Java并发编程实战~ThreadLocal
  8. 哥德巴赫猜想(信息学奥赛一本通-T1157)
  9. 一个机房的所有计算机联成的网络应是,根据分布范围,一个机房的所有计算机联成的网络应是( )。...
  10. matlab给图片滤波,matlab每日学习 图片滤波
  11. 流媒体 - UVC 协议
  12. 【C语言】 ASCII码
  13. drupal建站案例_Drupal8 快速建站教程
  14. This account is currently not available 解决办法
  15. 微信自动回复 html 点击文字,【微信开发】公众号自动回复文字和图文链接(示例代码)...
  16. c语言网络时间校准,c语言如何建时间校准来和电脑一致?
  17. cesium获取坐标及高程
  18. Delphi 函数使用技巧
  19. elasticsearch-analysis-ik中文分词插件安装及配置Ik自定义词典+拼音分词
  20. MATLAB进阶教程第一节(图形绘制)

热门文章

  1. u盘怎么格式化?试试这3种方法!
  2. 我国的计算机硬件,浅谈我国计算机硬件的发展.pdf
  3. vue 饿了吗文本域禁止拉伸
  4. 163邮箱可以微信收发邮件吗?vip163邮箱手机版怎么登陆?
  5. 《java入门第一季》之Date类案例,算一算你的恋爱纪念日
  6. MCMC学习笔记-马尔科夫链概述
  7. 计算机编程语言(机器语言,汇编语言,高级语言)
  8. spring切面注解失效
  9. PAT 1031 查验身份证 (15分)(Java)
  10. 消费者在选购和为婴幼儿食用此类产品时应注意