大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分。大侠可以关注FPGA技术江湖,在“闯荡江湖”、"行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢。大侠好,“宁夏李治廷”再一次和各位见面了,今天给各位大侠分享在FPGA数字信号处理中通信类I/Q信号及产生。欢迎各位大侠一起切磋交流,共同进步。话不多说,上货。

加油

I/Q原理及优势

对于有些通信类,光通信类以及射频方向的同学都知道在通信的信号处理中,输入的信号需要分成两路(I路和Q路),也被称作为正交调制信号。通常射频信号需要将低频的基带信号搬移到高频的载波信号上进行传输,传统方式是通过一个乘法器,将信号和载波进行相乘,实现频谱搬移。

cos(a)*cos(b)=1/2[cos(a+b)-cos(a-b)]

但是这样会增加两个多余的信号频率。信号通常来说越纯净越好,也对后续的插值,滤波,检波起决定性的作用。而且在滤波的过程中很难滤除另外一个频率,也徒然增加频带,消耗宝贵的资源。所以I/Q正交调制技术才得以在通信领域大展拳脚。

Cos(a-b)=cos(a)*cos(b)+sin(a)*sin(b)

并且I/Q两路信号可以降低采样率,方便将信号采用复数信号的形式(z=a+bi),降低每个支路的采样率,降低对ADC的要求,节省开发和成品的成本,很好的保留原始信号的相位信息。

加油

FPGA中利用IP核实现I/Q信号的产生

Quartus中提供了一个IP核为DDIO IP,可供采集高速ADC传入的数据后分成I/Q两路信号。并且通常比数据处理时采用数据截位生成I/Q两路数据方便高效。

DDIO IP核(双倍数据速率IO)

DDIO(Double Data Rate IO),IP核在逻辑单元(LE)中实现DDR寄存器,本程序中使用DDIO_IN实现一个DDR输入接口,IP将在参考时钟的上升沿和下降沿接收数据,实现2倍的时钟速率将数据锁存。

如果ADC选用的为14位,数据总线位宽选择14bits,以及异步清零,未选择数据使能端口,则数据的第一个bit将在输入时钟的下降沿被采集,反之将在上升沿被采集。

使用DDIO IP时需要注意OE信号在芯片中为低有效,但QuartusII软件自动在输出前添加一个反相器实现OE高电平有效,有需要可将OE转换回低电平有效。

所以使用DDIO IP是通信中比较常见的一种做法,高速且精准。产生的I/Q两路信号可以直接传输数字下变频(DDC)模块中进行处理,方便快捷。

大侠天赋异禀,本次交流到此结束,点到为止,有缘再见,告辞。

END后续会持续更新,带来Vivado、 ISE、Quartus II 、candence等安装相关设计教程,学习资源、项目资源、好文推荐等,希望大侠持续关注。大侠们,江湖偌大,继续闯荡,愿一切安好,有缘再见!

FPGA技术江湖广发江湖帖

无广告纯净模式,给技术交流一片净土,从初学小白到行业精英业界大佬等,从军工领域到民用企业等,从通信、图像处理到人工智能等各个方向应有尽有,QQ微信双选,FPGA技术江湖打造最纯净最专业的技术交流学习平台。

FPGA技术江湖微信交流群

加群主微信,备注职业+方向+名字进群

FPGA技术江湖QQ交流群

备注地区+职业+方向+名字进群

数字信号处理的fpga实现_FPGA数字信号处理:通信类I/Q信号及产生相关推荐

  1. FPGA数字信号处理:通信类I/Q信号及产生

    FPGA数字信号处理:通信类I/Q信号及产生 大侠好,"宁夏李治廷"再一次和各位见面了,今天给各位大侠分享在FPGA数字信号处理中通信类I/Q信号及产生. 欢迎各位大侠一起切磋交流 ...

  2. matlab用正弦做随机信号程序,(MATLAB辅助现代工程数字信号处理)第6章平稳随机信号处理与分析.ppt...

    第6章 平稳随机信号处理与分析 6.1 随机信号及其处理6.1.1 随机信号处理的发展历程 随机信号处理的发展可分为两个阶段: 经典随机信号处理阶段和现代随机信号处理阶段. 第一阶段为经典随机信号理论 ...

  3. 【数字信号处理】模拟角频率与数字角频率

    参考自: https://zhuanlan.zhihu.com/p/53664104 数字信号处理教程(第5版) 程佩青编著 清华大学出版社 图及表格源: 数字信号处理教程(第5版) 程佩青编著 清华 ...

  4. 【信号处理】基于Matlab GUI数字均衡器设计,源码附上

    [信号处理]基于Matlab GUI数字均衡器设计,源码附上 数字均衡器是一种常用于音频信号处理的技术,可以有效地补偿声音中的频率失真,提高音质.在这篇文章中,我们将介绍如何使用Matlab GUI进 ...

  5. FPGA跨时钟域信号处理之亚稳态问题

    FPGA跨时钟域信号处理之亚稳态问题学习笔记 跨时钟域会导致"亚稳态"的问题,信号的上升沿和下降沿并不是瞬间被拉高或拉低的,而是有一个倾斜变化的过程,如图中的tx信号的上升沿和下降 ...

  6. 多通路fpga 通信_基于FPGA的多路卫星信号处理系统的设计实现

    龙源期刊网 http://www.qikan.com.cn 基于 FPGA 的多路卫星信号处理系统的设 计实现 作者:曹晨 唐灵丽 来源:<中国新通信> 2014 年第 02 期 [摘要] ...

  7. 基于FPGA的HDB3数字编码器设计

    1.问题描述: 数字基带信号的传输是数字通信系统的重要组成部分之一.在数字通信中,一般由信源发出的数字基带信号含有丰富的低频分量,甚至直流分量,这些信号往往不宜直接用于传输,易产生码间干扰进而直接影响 ...

  8. 【My Project】数字频率测量FPGA实现

    注:以前在**负责激光测速频率信号采集相关研究,今日整理资料时看到,近乎遗忘,共享了~ -------------------------------------------------------- ...

  9. FPGA可以转行数字IC验证吗?

    近期在知乎上看到过一个问答"入职做fpga,后续是否还可以转数字ic设计或者DFT?"浏览量高达24,361,看来有很多小伙伴关心这个问题,今天就来和大家唠一唠FPGA转行的问题. ...

最新文章

  1. Android 5.0状态栏和导航栏
  2. memcached缓存失效时的高并发访问问题解决
  3. 如何让 Flutter 应用更好地使用 SVG?
  4. 【全真互联网下音视频技术创新应用】
  5. 接入淘宝API(PHP版本)
  6. Mybatis中@Param的用法和作用
  7. oracle11g 导出表报EXP-00011:table不存在。
  8. Linux—shell脚本化工具模板
  9. Redis中的批量删除数据库中的Key
  10. android studio 1.2.1.1导入library project(以sharesdk为例)
  11. wordpress直接处理$_post_实战:Drupal迁移到WordPress
  12. zlib库删除后的恢复
  13. 基于WFST的语音识别解码器
  14. excel单元格调用mysql数据,excel表格自动调用数据库-如何把Excel表格当做数据库处理...
  15. java zip 中文文件名乱码_java使用zip压缩中文文件名乱码的解决办法
  16. springboot传图片到前端之有手就行
  17. 详解数据结构课程设计———运动会分数统计
  18. BMFont 使用方法 一
  19. php框架laravel下载,laravel 5.4
  20. 腾讯员工的1则匿名帖子,让我细思极恐:不要低估人性的恶

热门文章

  1. 解决:bash: vim: command not found、docker 容器不识别 vi / vim 、docker 容器中安装 vim
  2. Solidworks如何将参考平面的图形投影到某曲面上
  3. MyBatis - MyBatis Generator 生成的example 如何使用 and or 简单混合查询
  4. GUN/LINUX命令之 cp mv install
  5. UESTC 250 windy数 数位dp
  6. BZOJ1652 [Usaco2006 Feb]Treats for the Cows
  7. AJAX之表单即时验证
  8. Java各进制之间的转换
  9. 04.React事件 方法、 React定义方法的几种方式 获取数据 改变数据 执行方法传值...
  10. [Nikon D80]樱花盛开的校园