目录

仿真界面

仿真文件结构

Scope & Object

开辟新窗口


这篇文章主要解决多个仿真窗口显示的问题,但是为了内容的完整性,顺便记录一些有关仿真的相关事宜。

这里只讲如何使用Vivado自己的仿真工具XSIM,而不涉及第三方仿真工具。

仿真界面

如下为仿真界面下常使用的功能:


仿真文件结构

这里所说的仿真文件结构不是指仿真文件(TB文件)的内容结构,而是仿真文件的层次结构。

如下图:

可以设置多个仿真集,每个仿真集可以对某一个设计文件进行仿真,例如上图对fft进行仿真,单独建立仿真集,命名为:test_fft;

对滤波器进行仿真,单独建立仿真集test_channel等。

这样,很清晰地管理我的仿真文件。

每次只有一个仿真集处于有效状态(active),如下图,我们可以对某一个仿真集右击运行来运行某一个仿真集文件:

可以为不同的仿真集设置不同的仿真选择,例如下面设置仿真时间:

有时候如下选择勾掉也许会解决一些仿真中的难解错误:

例如:Vivado行为仿真报错记录:[VRFC 10-3032] 'xbip_pipe_v3_0_5.xbip_pipe_v3_0_5_viv_comp' failed to restore

Vivado默认的仿真波形是黑色背景色,蓝色波形,变量值默认为十六进制,我们可以在如下设置界面设置这些东西,让波形的颜色为黑白的,更加直观。

进制也可以根据自己的需要进行设置。


Scope & Object

这里单独说一下Scope以及Object:

可以打开Scope的设置界面,可见:

Scope可以是如下这几种类型:

如下选中的是比较常用的,一般来说,我们看一下module里面的信号就可以了。

如果你的仿真文件里面用了task,当然也可以选中。

同理,在Object窗口,点击设置,也可以看到Object包括那些东西:

无非就是输入输出,以及内部信号,常量等。

与scope以及object相关的TCL命令:

current_scope:显示当前的scope

current_scope+某一scope名:

跳转到该scope下,并且object伴随着改变成该scope的object。


开辟新窗口

这是这篇博客的目的,就是要用这个功能,一个窗口显示不完,可以使用多个波形窗口进行显示,步骤如下:

剩下的,自行探索吧。

Vivado 随笔(5) 行为仿真(Behavior Simulation)相关事宜?相关推荐

  1. Vivado 随笔(6) Timing Summary 相关讨论(一)

    Vivado运行完Implementation后,Design Runs都会有如下的提示: 当然Timing Summary中也会有: 从上面的Design Timing Summary中可以看出,W ...

  2. Vivado调用Modelsim默认仿真条件设置

    Vivado调用Modelsim默认仿真条件设置 你得先会这个 常规设定Vivado调用Modelsim进行仿真的流程,就不多说,网上的帖子一大堆. 放心,推荐的链接安排上,使用的是Vivado201 ...

  3. 关于Vivado和Modelsim联合仿真的爱恨情仇

    关于Vivado和Modelsim联合仿真的爱恨情仇 心路历程 版本对应 仿真设置 错误解决 关于使用modelsim查看状态转移图 心路历程 vivado自带仿真工具虽然可以使用,但是每一次修改代码 ...

  4. FPGA学习之路—Vivado与Modelsim联合仿真

    Vivado与Modelsim联合仿真 笔者在学习FPGA过程中遇到了如何使用Vivado和Modelsim进行联合仿真的问题,特此记录. 首先确定版本 笔者Vivado用的是2018.3版本,先是随 ...

  5. 【Chips】如何启动第一个Quartus/Vivado下的Verilog仿真过程

    1 如何让Quartus和Modelsim实现联动仿真 Quartus中新建一个工程,注意,Simulator设置为Modelsim. 如果你的工程已经建好了,可以通过[Assinment -> ...

  6. vivado 和 modesim 联合仿真快速修改重仿

    vivado与modelsim的联合仿真(一)_坚持-CSDN博客_modelsim和vivado 1  编译联合仿真库    tool   →   compile_simulation_librar ...

  7. vivado和modelsim联合仿真实现占空比1:15的分频

    上一讲我们看了偶数分频 vivado和modelsim联合仿真实现偶分频,只需要在clk计数到一半时进行翻转即可,这是占空比为50%的情况,如果占空比任意数值就需要重新设计 16需要从0计数到15=4 ...

  8. vivado和modelsim联合仿真实现偶分频

    首先创建一个工程,因为不在硬件上实现所以芯片型号随便选一个就行 创建design文件div6.v,代码来源于以下视频,稍作修改. 在复位时给输出信号clk6赋值为0,不然输出不确定 判断条件cnt为1 ...

  9. 【回答问题】ChatGPT上线了!如何使用控制算法或动力学模型控制PreScan搭建的仿真环境及相关车辆的运动状态?

    PreScan是一款仿真软件,可以用来模拟汽车在不同条件下的运动状态.要使用控制算法或动力学模型来控制PreScan搭建的仿真环境和相关车辆的运动状态,需要进行以下步骤: 在PreScan中建立汽车的 ...

最新文章

  1. 讲解知识图谱成体系文章 (魔图互联知识图谱写的质量比较高的)
  2. 使用Devstack部署neutron网络节点
  3. ug后处理如何加密_什么叫UG编程?UG编程是干嘛的?不得不看哦!的UG
  4. Android下NDK开发环境搭建
  5. 生成树的计数 Matrix-Tree(矩阵树)定理
  6. IO概述、异常、File文件类_DAY19
  7. 修复版易支付源码可运营
  8. 3D版pix2pix来了,画一只猫就能抱起来吸丨github
  9. Ubuntu操作U盘相关
  10. 哈希表及处理冲突的方法
  11. c语言中d1的分辨率是,C中的方法分辨率顺序
  12. GJB 软件测试计划(模板)
  13. ffmpeg+java截取视频帧
  14. 2021年全球壳聚糖收入大约93百万美元,预计2028年达到126.8百万美元
  15. Pygame实战:BOOM 这有一款超刺激的扎气球游戏等你来玩~
  16. 鲲鹏Arm64 openEuler 虚拟机学习
  17. 深度报道 第1个从太空发回的LoRa信号(含视频)
  18. C#编写上位机连接华为云平台IoTDA
  19. 联想手机X2受到爱马仕继承人侯诺热赞
  20. 乐学python_铁乐学Python_day09_函数

热门文章

  1. 使用Vim+Ctags+Cscope阅读源代码
  2. 打开chm文件不能显示内容的解决办法
  3. 让SEQUENCE记录不变的信息【RECORD STATIC NUMBER IN SEQUENCE】
  4. 分解原理_基于矩阵分解原理的推荐系统
  5. RabbitMQ (五)实现类似Dubbo的RPC调用
  6. 电脑台式计算机描述不可用,win7系统计算机描述不可用的解决方法
  7. Elasticsearch介绍Kibana分词器增删改操作
  8. 在图片中如何生成带有文字边缘空心字体?
  9. 第十六 届全国大学生智能汽车竞赛 讯飞创意组 全国 选拔赛 竞赛规则
  10. 2021年春季学期-信号与系统-第一次作业参考答案