1 如何让Quartus和Modelsim实现联动仿真

  1. Quartus中新建一个工程,注意,Simulator设置为Modelsim。
  2. 如果你的工程已经建好了,可以通过【Assinment -> setting -> EDA Tool Settings】去修改simulator。
  3. 路径设置为Modelsim的win64文件夹。
  4. 新建一个Verilog文件,复制一份简单的代码。
  5. 编译(ctrl+l)
  6. Tool->Run Simulation Tool->RTL Simulation
  7. 如果成功就ok了。
  8. 如果说找不到license:①把破解的时候的生成的license.TXT文件改为license.dat后缀。②重启Quartus软件。
  9. 重新点RTL Simulation,就能打开Modlesim软件了。

2 放弃使用Quartus,使用Vivado

  • 原因

    • Quartus还需要自己去官网下载对应的device库,然后才能new project,就麻烦地离谱。
    • Quartus太丑
    • 新版本的Quartus的仿真步骤极其繁琐,要下载Modelsim,配置环境,点击仿真等等。 Vivado一步到位 (当然也可能是因为我使用的是vivado自带的仿真环境,但是quartus用的是独立的midelsim进行仿真)。
    • debug也不方便。
    • 大三的时候用的是Quartus 9.1,当时仿真模块是一体的。当然,界面也更复古。
  • 用vivado的个人感受(优点)
    • 好看!好的UI和使用体验
    • 支持更多的IP库,虽然我用不到,但听起来不错,方便未来拓展,据别的博客说其IP库还有不错的注释和example.
    • debug和仿真很方便!点一下就好了!
    • 使用 [vivado] + [Notepad++] 可以获得极佳的verilog编程体验,比如,敲代码时候的【自动补全】功能等。

3 如何使用Vivado进行仿真?

  1. 打开Vivado 软件,就是这个图标:

  1. 新建一个新工程项目以后,要注意一下,在进行仿真(一般指的就是前仿)时,要将testbench设置为“顶层”才行。(如果你没有testbench,那就要先写一个testbench才行,testbench就是在里面写给模块的输入信息等,没有的话是没办法进行仿真的),右键testbench文件设置为顶层(verilog代码不用设置为顶层,但是testbench一定要设置为顶层,因为testbench会自动调用我们手写的module的)。

  2. 设置完毕testbench以后,直接点击simulation进行仿真即可,就可以看见波形图了!很方便。

  1. 显示波形结果!

  1. 其他Vivado的使用基础概念. 例如各色窗口、按钮的操作以及功能介绍~

    可以参考这篇博客:Vivado使用技巧(19):使用Vivado Simulator —— CSDN FPGADesigner

【Chips】如何启动第一个Quartus/Vivado下的Verilog仿真过程相关推荐

  1. Linux下Verilog仿真过程(二)

    上一篇Linux下Verilog仿真过程(一)已经介绍了Verilog基本仿真问题 只不过仿真结果输出只是简单输出,不是很形象. 下面看一下"gui(图形用户界面)"的仿真结果. ...

  2. Linux下Verilog仿真过程(一)

    1>新建一个工作目录,以后相关的仿真文件都放在此目录下,如我的目录为:/home/xiaochuan/scr 2>编写模块文件(为了便于管理相关代码文件,可以将代码文件单独放在一个目录下, ...

  3. Linux下对Verilog文件进行leda检错

    硬件设计中运用Verilog实现的Module编写完成之后 ,要进行下一步leda检错 还用之前的例子来进行说明. 参考1:Linux下Verilog仿真过程(一) 参考2:Linux下Verilog ...

  4. Vivado下的集成逻辑分析仪ILA 入门

    刚刚开始学习Zynq 7000的时候,看到别人问ILA的问题时,说是集成逻辑分析仪,我觉得这是一个好东西,我一定要学会它. 我是买了黑金的AX7010, 后来换成AC7010,开始学习Zynq 700 ...

  5. Vivado下集成逻辑分析仪ILA入门续

    在 Vivado下集成逻辑分析仪ILA入门 一文中带着读者走了一遍集成逻辑分析仪ILA的使用过程.当时通过Set up Debug 添加需要监控的点,间接添加了ILA, 本文介绍另外一种方法,直接添加 ...

  6. FPGA — Vivado下ILA(逻辑分析仪)详细使用方法

    使用软件: Vivado 开发板: EGO1采用Xilinx Artix-7系列XC7A35T-1CSG324C FPGA 使用程序:按键案例 ILA详细使用方法 一.ILA简介 二.ILA的使用方法 ...

  7. linux mount挂载命令(将分区挂接到Linux的一个文件夹下,从而将分区和该目录联系起来)

    看海康摄像头启动脚本有一些mount命令,不知道干啥用的... mount是Linux下的一个命令,它可以将分区挂接到Linux的一个文件夹下,从而将分区和该目录联系起来,因此我们只要访问这个文件夹, ...

  8. vivado环境下用Verilog语言实现编码器

    ** vivado环境下用Verilog语言实现编码器 ** 编码器的分类 编码器通常分为两大类: 普通编码器和优先编码器. 其中,普通编码器对某一个给定时刻只能对一个输入信号进行编码的编码器, 它的 ...

  9. Windows和Linux双启动,并用在Windows下配置CoLinux启动

    http://www.cppblog.com/newclear/archive/2009/09/27/97327.html Windows和Linux双启动,并用在Windows下配置CoLinux启 ...

最新文章

  1. Office 365管理员指引 9 ——Lync 自定义会议邀请
  2. 一言难尽,Jpa这个功能差点让我丢了工作
  3. 【计算机视觉】究竟谁能解决可解释性 AI?
  4. Android调试技巧之Eclipse行号和Logcat
  5. JAVA面试中问及HIBERNATE与 MYBATIS的对比,在这里做一下总结
  6. asp网站短信api服务器,asp短信接口源码 比较简单但也挺实用用的(两个写法)...
  7. SAP License:SAP 系统参数设置
  8. Java——标准异常
  9. Python快速转换numpy数组中Nan和Inf的方法
  10. Python错误和异常
  11. QCC3007--打印库文件 log
  12. php使用手册输出语句,php echo和print区别及语句用法是什么 - php完全自学手册 - php中文网手册...
  13. 用C语言编程验证 “ 哥德巴赫猜想 ”
  14. 斯诺登逃亡之路上的守护天使都有谁
  15. mysql服务器cpu使用率过高100%
  16. Java中的数组注意点
  17. 修己,以清心为要。涉世,以慎言为先。
  18. 进程间通信(IPC (Inter-process communication))
  19. MATLAB数值分析学习笔记:线性代数方程组的求解和高斯消元法
  20. JAVA飞机移动斜着走_java复习 做一个简易的飞机游戏

热门文章

  1. tomcatServlet
  2. node-red教程 5.4 context global与函数节点的其它功能
  3. android电量百分比,Android P 状态栏显示电量百分比
  4. C++基础入门知识学习(通俗详细讲解)
  5. linux操作系统基础复习
  6. 第一章:搭建实验环境_eve-ng模拟器
  7. 全球及中国航空机电开关行业重点领域需求及未来发展展望报告2022-2028年
  8. 【源码】用主应力线(应力可视化)研究二维应力场
  9. ARM汇编指令CMP/CMN/TST/TEQ
  10. 制作VOC格式数据集的train.txt、val.txt文件