Xilinx ILA 使用教程

  • 1、调试代码(点灯程序)
  • 2、ILA IP 创建以及使用
    • 2.1、添加ILA IP
    • 2.2、ILA IP 官方文档的查看
    • 2.3、ILA 界面简介
      • 2.3.1、General Options 界面
      • 2.3.2、Probe_ Ports 界面

1、调试代码(点灯程序)

module led_top(sys_clk, sys_rst_n, led);input                   sys_clk;                                 //      系统时钟100MHzinput                   sys_rst_n;                                    //      系统复位低电平有效output  reg                led;                                        //      LED ->1秒翻转一次reg     [31:0]          cnt;                                     //      计数器localparam              T_1S = 100_000_000;                         //      时钟周期10ns -> 计数次数//  定时器计数always @ (posedge sys_clk or negedge sys_rst_n)beginif (!sys_rst_n)cnt <= 32'd0;else if (cnt == T_1S - 1'b1)                                   //      1秒循环计数cnt <= 32'd0;else cnt <= cnt + 1'b1;                                           //      计数器累加end// LED 点灯实验always @ (posedge sys_clk or negedge sys_rst_n)beginif (!sys_rst_n)led <= 1'b0;                                                //      高电平点灯->else if (cnt == T_1S - 1'b1)           led <= ~led;                                                //      1秒翻转一次endendmodule

2、ILA IP 创建以及使用

2.1、添加ILA IP


1、单击 IP Catalog
2、在Search(搜索框)中搜索:ILA
3、在Debug下面选择->ILA(Integrated Logic Analysis)->双击

2.2、ILA IP 官方文档的查看


1、单击Documentation
2、在下拉选项中选择Product Guide->单击

单击Product Guide 之后,会自动跳转到官方IP文档,我们可以直接阅读,也可以下载保存。

1、单击下载按钮,可以将IP文档下载下来。

2.3、ILA 界面简介

2.3.1、General Options 界面


1、IP Location->单击之后,可以看见创建IP 存储的位置。

2、Component Name->ILA IP的名称,在这里可以使用默认名称,也可以根据自己的风格进行更改。我在这里把这个IP 更改成led_ila。

3、Monitor Type ->这个选项指定调试那种类型的接口。两种选择“Native”和“AXI”。对于初学者而言,这里选择“Native”就可以了。

4、Number of Probes->探针的数量。我们可以把这个理解成示波器的探头,1就对应1个探头,2就对应2个探头…以此类推。这里提供的选择范围在[1,1024]。在实际使用的过程中,我们根据需要观测信号的数量来合理选择探头的数量。在这里,我选择3个探头。

5、Sample Data Depth->采样数据的深度,可以在右边下拉菜单中选择合适的深度。你就把这个理解为容量相同的储物格。储物格越多,存储的物品也就越多,对应到数据上来说,就意味着你能接收并且看到更多的数据。因为我FPGA资源剩余比较多,这里我选择4096

6、Same No. of Comparators for all Probes ->选中为所有已启用的端口和接口启用相同数量的比较器。 此 IP 版本允许您在基本和高级触发模式下使用此选项。 这里选择默认配置就可以了。

7、No. of Comparators ->比较器数量。选择以启用适用于所有已启用探针的比较器数量。
Trigger Out Port -> 触发输出端口 – 选中以启用可选的触发输出端口。

Trigger In Port – >选中以启用可选的触发器输入端口。

8、Input Pipe Stages-> 选择要为探针添加的寄存器数量。 此参数适用于所有探头。选择默认配置就可以了。

9、
torage Qualification – 选中以启用跟踪捕获的限定符。
Advanced Trigger 高级触发——选中以启用基于状态机的触发排序。

ILA 参数配置之后的界面下图所示

2.3.2、Probe_ Ports 界面


1、Probe Width->探针的位宽。这里设置的探针位宽尽量和你观测的信号位宽保持一致。我这边观测三个信号,分别是sys_rst_n, led,cnt->对应的位宽分别为1,、1、32。

2、Number of Comparators->比较器的数量,这里不能被更改(前一个界面已经设置过了)。

3、Probe Trigger or Data-> 这里保持默认配置就可以。

设置之后->单击ok,ILA IP 配置完成。

Xlinx ILA 使用相关推荐

  1. vivado中ILA核的使用

    RTL设计 在RTL设计中,将想要抓取的信号前加上: (*KEEP = "TRUE"*) reg led_reg02; 例如,本例中需要抓取的信号是led_reg02: ILA核的 ...

  2. Virtex中的ILA属性、VIO属性

    ILA属性 双击[Xilinx Core Generator],打开现有的IP核工程项目或者创建一个新的IP核工程.[View by function]→[Debug & Verificati ...

  3. FPGA开发要懂得使用硬件分析仪调试——ILA

    0. ILA概述 在FPGA开发中,当我们写完代码,进行仿真,确定设计没有问题后,下载到硬件上一般都能按照我们的设计意愿执行相应功能.但这也并非绝对的,有时候你会遇到一些突然情况,比如时序问题或者仿真 ...

  4. Vivado中使用逻辑分析仪ILA

    FPGA综合出来的电路都在芯片内部,基本上是没法用示波器或者逻辑分析仪器去测量信号的,所以xilinx等厂家就发明了内置的逻辑分析仪.在vivado中叫 ILA(Integrated Logic An ...

  5. vivado----fpga硬件调试 (八)----例化ila核

    VIVADO下ILA使用指南 ILA是VIVADO下的一个DEBUG- IP,类似于片上逻辑分析仪,通过在RTL设计中嵌入ILA核,可以抓取信号的实时波形,帮助我们定位问题.本文档以一个简单的COUN ...

  6. vivado----fpga硬件调试 (五) ----找不到ila核问题及解决

    问题 一: WARNING: [Xicom 50-38] xicom: No CseXsdb register file specified for CseXsdb slave type: 0, cs ...

  7. Vivado ILA无法触发,点Stop Trigger提示There are no armed ILAs

    Vivado和Vitis都能正常烧写程序,烧写完成后,Vivado中也能正确识别出ILA并打开波形窗口,奇怪的是,ila无法触发,无法显示任何波形,点击Stop Trigger会提示There are ...

  8. vivado常规操作之烧写bit文件_固化mcs文件_调试界面debug之ila与vio的操作

    目录 1 概述 2 烧写bit程序 3 Vivado 常用的调试界面 3.1 ila界面 3.1.1 添加需要查看的信号 3.1.2 添加条件触发信号 3.1.3 运行与停止ila界面,查看信号 3. ...

  9. (9)vivado ila IP使用示例(学无止境)

    1 verilog代码 `timescale 1ns / 1ps module top(   clk           ,   led          ); //input/output   in ...

最新文章

  1. intellij idea 15,webstorm 最新注册破解
  2. C#之windows桌面软件第一课:倒时器软件
  3. Leetcode之仅仅反转字母
  4. Mr.J--JS学习(Clone)
  5. matlab分析机翼,基于Matlab对机翼断面下轮廓线的数值分析
  6. 西奥服务器修改楼层参数,西奥FOVF梯调试介绍.doc
  7. OpenGL入门笔记(六)
  8. 基于感知哈希算法的图像相似匹配计算实战
  9. TroubleShooting Hyper-V 虚拟机因磁盘配置问题无法启动
  10. 核酸检测小程序实战教程
  11. GreenPlum分区表原理
  12. Git 常用记录(删除commit操作/挑拣/删除仓库)
  13. 高德地图JS添加海量点
  14. 如何给PDF中的内容添加下划线
  15. 计算机考试上网题操作步骤,计算机等级考试一级复习 上网试题操作方法-
  16. SPA(单页面)和MPA(多页面)的区别与优缺点
  17. (一)--使用RSA公钥证书解密
  18. 计算机故障维修四种思路,维修“望闻问切” 电脑故障的排除方法
  19. matlab循环迭代6,matlab - Matlab中每个for循环迭代的速度降低 - 堆栈内存溢出
  20. Swift语言官方文档翻译(1)

热门文章

  1. 物联卡中心:电信物联卡稳定吗,电信物联卡资费标准
  2. 抖音超火的图片视频教程!快速制作技巧,创意爆表了
  3. python计算两个日期天数差值
  4. html5图像编码游戏开题报告,基于HTML5的英语学习APP开题报告
  5. 删除远程分支和本地分支
  6. 手绘效果图与电脑效果图制作哪个好
  7. Mac 下载-安装QT
  8. XGBoost算法个人理解
  9. 复现PTA人事机考照片审核的功能
  10. 中国历史上最经典的七个梦