问题 一:

  1. WARNING: [Xicom 50-38] xicom: No CseXsdb register file specified for CseXsdb slave type: 0, cse driver version: 0. Slave initialization skipped.
  2. INFO: [Labtools 27-1434] Device xc7k410t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it.
  3. WARNING: [Labtools 27-3123] The debug hub core was not detected at User Scan Chain 1 or 3. You must manually launch hw_server
  4. with -e "set xsdb-user-bscan <C_USER_SCAN_CHAIN scan_chain_number>" to detect the debug hub at User Scan Chain of 2 or 4.
  5. To determine the user scan chain setting, open the implemented design and use: get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub].
  6. WARNING: [Labtools 27-1974] Mismatch between the design programmed into the device xc7k410t_0 and the probes file D:/Vivado/xc7k410t-2ffg900/ddr_slave_410t_20150527_1/ddr_slave_410t_20150527_1.runs/impl_1/debug_nets.ltx.
  7. The device design has 0 ILA core(s) and 0 VIO core(s). The probes file has 1 ILA core(s) and 0 VIO core(s).
  8. Resolution:
  9. 1. Reprogram device with the correct programming file and associated probes file OR
  10. 2. Goto device properties and associate the correct probes file with the programming file already programmed in the device.

复制代码

大概是说设计里没有ILA core,但是debug文件里有ILA core,而且debug probes窗口下什么也没有。但是,我综合后明明插入了debug core呀,而且在约束文件里也自动生成了相关信息,查看schematic,也添加了debug相关的两个元件,为毛program时就是看不到呢?

不知道有没有人遇到过类似的情况,求指点,万分感谢!

解决:

1: VIO 和 ILA 的CLK 有问题。

2: 我查的Xilinx的论坛,貌似也这么说,说是要用free running clock,但我也没弄明白什么样的叫free running clock。我用的就是那些寄存器本来的时钟,如果换个时钟的话,怎么能保证采样不会出问题呢?还是不太明白,能否详细指教?谢谢啦!

所谓的free running clock就是上电就跑的时钟,而不是依赖某些条件才有的。补充一点,FREE CLOCK的确是要求上电无条件运行的时钟。有一次我碰到一种情况。用MMCM或者PLL输出的时钟作为采样时钟,但是如果MMCM或者PLL这个输入并不是上电就来的话,而是等FPGA程序运行了之后时钟输入才来,那么下载程序之后还是在ILA调试界面看不到任何信号。把MMCM或者PLL的输入时钟改为晶振的时钟,那么就可以正常使用ILA了。这是我的个人感觉,没有经过大量验证,所以希望大家多多指教。补充一点,FREE CLOCK的确是要求上电无条件运行的时钟。

其实不用FREE CLOCK也没问题。比方用ZYNQ PS产生的CLK也可以。上电后做PS初始化,再把需要的寄存器设定一下,然后更新一下DEVICE,就可以找到ILA了。

3 : 这个问题我遇到过,其实第一种情况是你的时钟信号可能没加入成功(比如外部输出时钟信号没进来或者幅度太小,内部时钟可能没有lock);第二种情况是,你输入到ila核的时钟频率不合适。其实,ila就是个采样你需要的查看的信号的始终,因此最好是直接用外部始终的mmcm生成大于你需要采集信号的最高频率来采样(具体多大频率,看你采样点数的需求和你信号的频率了)。

4: 这个问题是时钟引起的。当bit file program完成之后,fpga/vivado会自动检测ila的clock是否存在,如果不存在(在本例中是pll/mmcm没有lock),它就会report 这个warning。这个时候我们只要让时钟工作起来,refresh一下device,ila就会启动--ila的窗口就会出来了。

5 : 你试试直接用外部输入的时钟(可经过时钟buf)作为ila的clk,不要用其它模块产生的时钟。

问题 二:

我在vivado下进行调试,调用了ILA IP Core。如果ila采用晶振输入作为clk时(也即全局时钟),在顶层RTL级,可以看到ila的数据和时钟都连上了。Debug时也能在Hardware下看到XADC和ILA。
但如果ila的clk,采用逻辑计数办法分频后的时钟信号、或者采用clock wizard倍频后的时钟信号。在顶层RTL下看ILA的clk并没有和上述时钟源连接上。此时将bit流下载后Debug,也只能看到XADC而看不到ILA核。
  
想知道使用ILA时,ila的clk的输入源是不是有什么特殊限制?

解决:

1 : 难道是:(Xilinx PG172)
The clk input port is the clock used by the ILA core to register the probe values. For best results, it should be the same clock signal that is synchronous to the design logic that is attached to the probe ports of the ILA core.

2 : 首先确保你的分频结果是有效的。
然后,如果你非要用分频结果的话,过一个bufg试试。

// BUFG: 全局时钟缓存(Global Clock Buffer),只能以内部信号驱动  
// Xilinx HDL库向导版本,ISE 9.1  
BUFG BUFG_inst (  
.O(O), //时钟缓存输出信号  
.I(I) // /时钟缓存输入信号  
);  
// 结束BUFG_ins模块的例化过程

vivado----fpga硬件调试 (五) ----找不到ila核问题及解决相关推荐

  1. WARNING: [Labtools 27-3413] vivado报错调试界面无信号无波形解决方法

    vivado----fpga硬件调试 (五) ----找不到ila核问题及解决 INFO: [Labtools 27-2302] Device xczu9 (JTAG device index = 0 ...

  2. vivado----fpga硬件调试 (一)----mark_debug

    最近两个月开始用Vivado做项目,之前一直用ISE开发,个人觉得ISE方便好用,而Vivado编译又慢,还占内存,打开一个工程就需要好半天,可视化界面感觉也没什么用处,不如模块化的代码来的简单,而且 ...

  3. 做好FPGA设计的五项基本功 (Z)

    成为一名说得过去的FPGA设计者,需要练好5项基本功:仿真.综合.时序分析.调试.验证. 需要强调的一点是,以上基本功是针对FPGA设计者来说的,不是针对IC设计者的.对于IC设计,我不懂,所以不敢妄 ...

  4. 【基于zynq的卷积神经网络加速器设计】(一)熟悉vivado和fpga开发流程:使用Vivado硬件调试烧写hello-world led闪烁程序实现及vivado软件仿真

    HIGHLIGHT: vivado设计流程: note: 分析与综合 和 约束输入 可以调换顺序 [基于zynq的卷积神经网络加速器设计](一)熟悉vivado和fpga开发流程:使用Vivado硬件 ...

  5. 使用Vivado软件进行硬件调试

    文章目录 前言 一.例化ILA IP核调试 二.在网表中添加探针调试 总结 前言 本文的主要内容是使用Vivado软件进行硬件的调试,介绍例化ILA IP核和在网表中添加探针这两种硬件调试的方法,重点 ...

  6. (三)vivado硬件调试ILA的使用

    一.介绍 ILA:integrated logic analyzer 集成逻辑分析仪 可定制的集成逻辑分析仪 (ILA) IP 内核是一种逻辑分析仪内核,可用于监控设计的内部信号.ILA 内核包含现代 ...

  7. 【Xilinx ILA调试】Xilinx 硬件调试ILA

    什么是ILA? FPGA综合出来的电路都在芯片内部,基本上是没法用示波器或者逻辑分析仪器去测量信号的,所以xilinx等厂家就发明了内置的逻辑分析仪.在vivado中叫 ILA(Integrated ...

  8. vivado----fpga硬件调试 (四)----mark_debug

    在vivado中fpga功能验证比ise中方便了很多,主要体现在debug的ip核生成以及最后的波形观察和调试上,下面我就从这两个方面说一下vivado的fpga验证调试. fpga验证的步骤一般是: ...

  9. ise仿真添加信号_「干货」推荐一款FPGA仿真调试鸟枪换炮的工具

    俗话说,隔行如隔山.非微电子专业没有做过芯片的同学,是不知道这个世界上还有效率更高的用来对Verilog/VHDL代码进行仿真的工具的.具体来讲,对于做FPGA开发的同学而言,需要知道除了ModelS ...

最新文章

  1. 爬虫不得不学之 JavaScript 函数对象篇
  2. 11、查看及测试网络、配置网络地址、内核及模块管理
  3. 与其倒推以前不如推到重建
  4. 【STM32】利用 C 语言 strchar() 函数查找字符串中指定字符的位置
  5. opencv orb
  6. 高并发的epoll+线程池,epoll在线程池内
  7. 【STM32】外部中断
  8. Ui5 tool debug - ctrl alt shift s
  9. java8 streams_另一个Java 8 Lamdbas和Streams示例
  10. Shell脚本完成hadoop的集群安装
  11. 关闭word_Word文档如何快速查找?超实用的5个Word技巧教给你
  12. java 用户线程如何修改界面内容_java 加入一个线程、创建有响应的用户界面 。 示例代码...
  13. 统一操作系统 UOS 龙芯版上线
  14. [转载] python匿名(lambda)函数
  15. 威胁情报工具:更快?更聪明?
  16. d触发器q端的输出波形_如果把D触发器的输出Q'反馈连接到输入D,则输出Q的脉冲波形的频率为CP脉冲频率f的 。...
  17. JavaScript模块知识理解
  18. 基于SpringBoot开发的后台管理、系统脚手架Github\Gitee收集
  19. office2010 启动man_发现office2010启动挺慢的,各位一样吗
  20. 收藏!从十篇顶会论文解读计算机视觉的未来之路!

热门文章

  1. 2021年春季学期-信号与系统-第七次作业参考答案-第十小题
  2. 2020秋季人工神经网络作业登记与批改
  3. 今晚有直播 | 全国大学生智能汽车竞赛人工智能创意赛来啦!
  4. 机器学习 python_送书 | 深入浅出Python机器学习
  5. php jquery ajax输出数组吗,jquery – 从PHP返回数组时的Ajax Parse错误
  6. yum php56w_yum安装PHP/yum升级PHP
  7. resultmap为list_MyBatis源码:原来 resultMap 解析完是这样
  8. 声音匹配_如何调节人声音色方法如下
  9. 32位系统 和 64位系统 C 程序中 为什么 指针的内存大小的不一样
  10. 微型计算机外文文献,电子信息科学与技术专业Microcomputer-Systems微型计算机控制系统大学毕业论文外文文献翻译及原文.doc...