一、组合逻辑电路中的竞争—冒险现象

1、竞争—冒险现象及其成因

  • 竞争:门电路的两个输入信号同时相反的逻辑电平跳变(一个从1变为0,另一个从0变为1)的现象。

注意:只要存在竞争现象,输出就有可能出现违背稳态下逻辑关系的尖峰脉冲

  • 竞争—冒险:由于竞争而在电路输出端可能产生尖峰脉冲的现象,即有竞争就存在冒险

2、检查竞争—冒险现象的方法

  • 代数法

在输入变量每次只有一个改变状态简单情况下,可以通过逻辑函数式判断组合逻辑电路中是否有竞争—冒险现象存在,具体方法如下:

在逻辑函数式中将n-1个输入变量(共n个输入)用固定的0或1带入,最后能将逻辑函数式能化简

 或 

或者上面两式的,即可判定存在竞争—冒险现象

补充:由上述判定可以得知,只要电路中的与门和非门的两输入同时相反的逻辑电平跳变(一个从1变为0,另一个从0变为1),就存在竞争—冒险现象。

  • 卡诺图法

有两个相切的卡诺圈,并且相切处没有其他卡诺圈包围,可能会出现竞争-冒险现象。

另外,较为复杂的情况而采用计算机辅助分析+实验的分析方法。

3、消除竞争—冒险现象的方法

(1)接入滤波电容

在输出端并接一个很小的滤波电容,就足以把尖峰脉冲的幅度削弱至门电路的阈值电压以下。

优点:简单易行;

缺点:增加了电压波形的上升时间和下降时间,使波形变坏;

适用:对输出波形前、后沿无严格要求。

(2)引入选通脉冲

在电路中引入一个选通脉冲p,p的高电平(正脉冲)出现在电路到达稳定状态以后,这时正常的输出信号也将变成脉冲信号,且宽度与选通脉冲相同。

优点:简单,不需要增加电路元件;

缺点:需要设法得到一个与输入信号同步的选通脉冲,对其宽度和作用的时间也有严格要求。

(3)修改逻辑设计

例:逻辑函数式,在B = C = 1的条件下,当A改变状态时存在竞争—冒险现象。通过增加冗余项的方法,函数式可变为,此时在B = C = 1的条件下无论A如何变化,输出始终保持Y = 1,即A的状态改变不再会引起竞争—冒险现象。

优点:不会给电路带来不利的影响;

缺点无法消除多变量同时改变状态时,例如C=0且AB从10变为01电路仍会存在竞争—冒险的现象,且该方法适用范围有限;

上述方法适用于消除单变量改变状态时存在竞争—冒险现象的情况。

二、时序逻辑电路中的竞争—冒险现象

因为时序逻辑电路中通常包含组合电路和存储电路两个部分,所以它的竞争—冒险现象包含两个部分。

1、组合逻辑电路中的竞争—冒险现象

见上,略。

2、存储电路(触发器)工作中的竞争—冒险现象

这是时序电路所特有的一个现象。

输入信号和时钟信号同时改变,而且途径不同路径到达同一触发器,便产生了竞争。竞争的结果有可能导致触发器误动作,这种现象称为存储电路(或触发器)的竞争—冒险现象

同步电路中,由于所有触发器都在同一时钟操作下动作,而在此之前每个触发器的输入信号已处于稳态状态,因而可以认为不存在竞争现象。因此,一般认为存储电路的竞争—冒险现象仅发生在异步时序电路中

当然,同步时序电路(非严格意义上的)会存在时钟偏移现象,有可能造成移位寄存器的误动作。如下图,由于 到达的传输延迟时间不同。

组合、时序逻辑电路中的竞争—冒险现象相关推荐

  1. 《数字电子技术基础》4.4/6.5 组合逻辑/时序逻辑电路中的竞争-冒险

    前言 <数字电子技术基础>第4.4节和第6.5节 组合逻辑电路和时序逻辑电路中的竞争-冒险现象学习笔记 4.4 组合逻辑电路中的竞争-冒险 4.4.1 竞争-冒险现象及其成因 之前讨论组合 ...

  2. 数字电路基础知识—— 组合逻辑电路中的竞争与冒险(竞争与冒险、检验方法、及消除方法)

    在数字逻辑设计中,并不是说最简的逻辑表达式在设计组合逻辑时一定是最优的,可能就会出现本次介绍的竞争与冒险问题,所以需要了解竞争与冒险的检验以及竞争与冒险的避免消除方法. 一.竞争与冒险现象及其形成原因 ...

  3. FPGA中的竞争冒险消除

    目录 竞争冒险消除 1.竞争与冒险 1.1 竞争 1.2 冒险 1.3 竞争与冒险的关系 1.4 检查竞争冒险的方法 1.5 消除竞争冒险的措施 2.毛刺 2.1 毛刺产生的原因 2.2 毛刺产生的条 ...

  4. 组合逻辑中的 竞争冒险问题

    目录 1. 竞争 逻辑冒险 功能冒险 1.1. 数字电路的 竞争问题 功能冒险 逻辑冒险 1.2. 测试仿真的 竞争问题 放大delta cycle 2. 判断冒险 2.1. 判断 功能冒险 2.2. ...

  5. 竞争-冒险现象及其消除方法

    (1)竞争:将门电路两个输入信号同时向相反的逻辑电平跳变(一个从1变为0,一个从0变为1)的现象称为竞争. 注意:有竞争现象时,不一定都会产生尖峰脉冲. 例子: 按照图片中粗虚线的修改办法,可以避免因 ...

  6. 数电4_6——竞争-冒险现象

    竞争-冒险现象 1. 竞争-冒险现象及其成因 2. 检查竞争冒险现象的方法 3. 消除竞争冒险现象的方法 4. 例子 1. 竞争-冒险现象及其成因 竞争:把门电路的两个输入信号同时向相反的逻辑电平跳变 ...

  7. 【Verilog基础】时序逻辑亚稳态 + 组合逻辑竞争冒险 总结

    文章目录 前言 一.时序逻辑竞争冒险 1.1.什么是亚稳态 1.2.亚稳态是怎么产生的 1.3.如何避免亚稳态 二.组合逻辑竞争冒险 2.1.什么是竞争冒险? 2.2.怎么识别竞争冒险? (1)公式法 ...

  8. 【综合】数字IC设计需要考虑的时序参数;Race Hazard;同步系统时序要求;建立时间、保持时间;偏斜;抖动;毛刺、竞争冒险;亚稳态

    [综合]数字IC设计需要考虑的时序参数:Race Hazard:同步系统时序要求:建立时间.保持时间:偏斜:抖动:毛刺.竞争冒险:亚稳态 数字设计时需要考虑的时序参数 传播延迟 propagation ...

  9. 组合逻辑电路中竞争冒险的分析

    http://hi.baidu.com/hieda/blog/item/a4e2f826a8295c138b82a142.html 1. 什么是竞争冒险?2. 竞争冒险产生的原因? 3. 如何判断有竞 ...

最新文章

  1. gcc s.lds 使用方法
  2. python二十:内置函数
  3. 3.4 matlab用for语句实现循环结构
  4. boost::math::policies用法的测试程序
  5. 【328天】每日项目总结系列066(2017.12.30)
  6. 数据库-数据库设计-5个
  7. 一个基于protobuf的极简RPC
  8. Zabbix篇四:钉钉机器人报警
  9. 一旦手把手教你开发微信公众平台
  10. 北大信科计算机考研科目,GitHub - 2584800190/kao_yan: 19年北大信科考研经验
  11. Linux系统无法在spyder5中输入中文的解决办法
  12. c++语言“case”,C++ switch case详解
  13. excel利用vba批量生成word报告
  14. 编写一个函数模板,实现两个对象大小的比较,并按照需求返回较大者或较小者。
  15. 使用 dva 如何配置异步加载路由组件
  16. 管理项目干系人时,巧用工具可以事半功倍
  17. java 编辑PDF 文件,或者填充数据
  18. python+vue+elementui在线打印系统
  19. React入门(一)之基本语法(内容较多)
  20. 软件的生命周期(软件工程各阶段的工作)

热门文章

  1. Python基于民航业知识图谱的自动问答系统(附源码)
  2. 视差图(Disparity)三维重投影得到特征点的三维空间坐标的2种方法
  3. SpringBoot扫描包问题
  4. linux防火墙放开pptpd端口号,Linux下 iptables防火墙 放开相关端口 拒绝相关端口 及查看已放开端口...
  5. 软件设计:内聚性 耦合性 的总结
  6. oracle pq distribute,详解hints PQ_DISTRIBUTE
  7. opencv4.7.0+图像处理专栏总目录
  8. 开口电流互感器厂家(安科瑞-卓宋兰)
  9. 李开复加入“中文版 ChatGPT”大战:宣布筹组新公司,招募世界级人才!
  10. E:Infallibly Crack Perplexing Cryptarithm