本实验工程已i2c——eeprom为列

1、打开工程文件,注意添加所需要的文件以及testbench文件,可以先编译是否存在语法错误

2.双击file

3.选择simulation

4.选择工具名(这里使用阿尔特拉modelsim)

5.点击testbench

6.点击new

6。选择添加tb文件(点击后面的三个点),找到之后点击add

7.然后选择顶层文件模块名,(quartus文件名与模块名必须一样,vivado可以不一样,但尽量写成一样)

然后点击ok

注:如果存在多个模块,需要将所有文件都添加,但顶层只有这一个

8.一直点击ok就行

9、点击tool->run simulation tool->rtl simulation

10、点击wave就可以看到波形

11鼠标右键则可以添加模块里的所有信号

12,

一般情况可以先清除。、然后设置仿真时间、然后跑

后面两个按钮为持续跑(持续跑一点要点stop,本人上次直接把d盘跑炸,整个工程直接奔溃)、最后一个跑完

quartus联合仿真步骤相关推荐

  1. AMESim与Matlab/Simulink联合仿真步骤(最新软件版本!)

    AMESim与Matlab/Simulink联合仿真步骤 为什么要进行联合仿真? 其实Amesim中能做的仿真,大多数情况下Matlab都能实现,但是由于使用Matlab实现时候,你需要完全理解模型背 ...

  2. FPGA学习笔记(六)Modelsim单独仿真和Quartus联合仿真及signaltap使用

    系列文章目录 一.FPGA学习笔记(一)入门背景.软件及时钟约束 二.FPGA学习笔记(二)Verilog语法初步学习(语法篇1) 三.FPGA学习笔记(三) 流水灯入门FPGA设计流程 四.FPGA ...

  3. matlab与amesim,amesim与matlab联合仿真步骤(自己总结)(精)

    Amesim 与 matlab 联合仿真参数设置 实验软件平台 Matlab2009a , amesimR8a , VC6.0 企业版 (英文版 步骤: 1 将 VC++中的 "vcvar3 ...

  4. amesim与matlab联合仿真原理,amesim与matlab联合仿真步骤(自己总结)

    Amesim与matlab 联合仿真参数设置 实验软件平台 Matlab2009a,amesimR8a ,VC6.0 企业版(英文版) 步骤: 1 将VC++中的"vcvar32.bat&q ...

  5. psim什么版本能和matlab联合仿真,psim与simulink联合仿真步骤

    (1)在PSIM 安装目录下,运行程序文件"SetsimPath.exe",将"SimCoupler Module"以S 函数的形式嵌入MA TLAB/Simu ...

  6. matlab与flightGear联合仿真

    一.安装软件 FlightGear2019.1.1 (exe应用程序,下载地址:https://www.flightgear.org/) Matlab版本:2017b(下载地址:https://pan ...

  7. Vivado和Modelsim联合仿真问题记录

    目录 写在前面 Modelsim安装 1.以管理员身份运行安装程序 2.去掉mgls.dll和mgl64s.dll文件"只读"属性,直接双击运行patch64_dll.bat 编译 ...

  8. Xilinx ISE 14.7与Modelsim10.1a联合仿真

    分别安装Xilinx ISE 14.7与Modelsim10.1a Modelsim10.1a下载地址:https://pan.baidu.com/share/link?shareid=72194&a ...

  9. Quartus II与ModelSim联合仿真

    Quartus II与ModelSim联合仿真 目录 Quartus II与ModelSim联合仿真 一.创建新工程 二.创建新文件 三.配置ModelSim的路径 四.关联Test Bench文件 ...

  10. quartus和modelsim联合仿真详细教程

    利用quartus和modelsim联合仿真的功能,实现功能产生波形.详细步骤如下: 1.编辑verilog HDL语言 本次拟实现组合逻辑功能,其代码如下: 此为一组合逻辑电路,其原理图可在quar ...

最新文章

  1. Spring Boot 一般层级简介
  2. 【渗透技术】一个渗透测试工具人是怎样操作的
  3. Java的finally执行顺序_Java return和finally执行顺序
  4. 一文搞定C语言本地变量和全局变量
  5. QT的QTextCodec类的使用
  6. Intel(R)Turbo Boost Technology Driver上面显示为感叹号
  7. vue-cli中config目录下的index.js文件详解
  8. idea生成类中序列化id
  9. Prim和Kruskal算法
  10. 女神节福利:友萌君送你~健身踏青装备
  11. 队列总结(六)DelayQueue
  12. docker应用篇(6):安装Uptime Kuma监控
  13. python实现触摸精灵功能_触摸精灵实现找图功能
  14. html 消息滚动,以HTML实现消息滚动
  15. 优盘连接时显示参数错误请问咋才能修复
  16. C++中endl的本质是什么
  17. java实现文件夹的上传和下载
  18. 微光集市-登陆后用户对购物车的操作(版本4.0)
  19. java 6u45 no sni 2_sjscxz.taobao.com
  20. uni-app开发安卓APP运行到真机,未检测到手机或模拟器

热门文章

  1. 固高运动控制卡原点回零函数
  2. 【快速测试】TF02-i-CAN通过CAN分析仪与电脑通信操作教程
  3. AE插件/Trapcode Suite安装错误/error
  4. 70 万行代码、历时 20 年,一名程序员写出的史诗般的计算机程序
  5. 项目管理实践——一页纸项目管理
  6. web前端之CSS——web字体详解
  7. QGIS展示三维DEM数据
  8. 关于STM32编译报错:Error: L6218E: Undefined symbol SystemInit (referred from startup_stm32f10x_md.o).
  9. Java初学者也可以实现的图书系统小练习
  10. Unity中的Assetbundle,Unity基础知识学习一