系列文章目录

一、FPGA学习笔记(一)入门背景、软件及时钟约束

二、FPGA学习笔记(二)Verilog语法初步学习(语法篇1)

三、FPGA学习笔记(三) 流水灯入门FPGA设计流程

四、FPGA学习笔记(四)通过数码管学习顶层模块和例化的编写

五、FPGA学习笔记(五)Testbench(测试平台)文件编写进行Modelsim仿真

六、FPGA学习笔记(六)Modelsim单独仿真和Quartus联合仿真

七、FPGA学习笔记(七)verilog的深入学习之任务与函数(语法篇3)


目录

  • 系列文章目录
  • Modelsim单独仿真
  • Quartus联合仿真
  • Signal Tap II使用

Modelsim单独仿真

Modelsim中新建项目后,导入编写的Testbench文件和程序文件,点击compile all编译,没有错误后,点击start simulation 开始仿真。

在work中选择编写Testbench时定义的仿真模块,取消勾选使能优化。

点击Add Wave添加参数的波形。

利用Format可以更改显示格式,利用快捷工具栏修改仿真时间。

Quartus联合仿真

首先,需要在quartus中设置仿真的类型,可以在新建项目时设置

或者可以在Assignments中的Setting中设置



设置modelsim的软件路径

利用Quartus自动生成仿真文件Testbench框架

提示没有错误后,在Settings中将生成的仿真文件.vt添加到项目文件中

打开.vt文件后,一些红色箭头标注的不需要,然后在里面添加自己需要的内容。

添加完成后设置编译的仿真文件

添加完成后开启仿真,quartus就会自动打开modelsim进行仿真。

Signal Tap II使用


下图是设置的采样时钟,所以如果用这个时钟去采集同样频率的sclk,是采集不到的



这里就可以选择按键复位的触发方式,在数据显示界面就可以看到实体按键按下前后数据的变化,结合上面的触发后数据显示位置,来观测数据

设置和数据显示切换

设置完成后,保存到项目中,编译生成下载,也可以在这个界面里下载



切换数据显示格式:

数据采集开始:

下图中/* */可以防止前面定义的信号被优化(如果编译器发现这两个变量对模块输入输出没有影响,就会优化),这样signal tap才能有这个变量。
当然如果被定义了,但是其他程序没有使用,还是没用没有这个变量

FPGA学习笔记(六)Modelsim单独仿真和Quartus联合仿真及signaltap使用相关推荐

  1. FPGA学习笔记(五)Testbench(测试平台)文件编写进行Modelsim仿真

    系列文章目录 一.FPGA学习笔记(一)入门背景.软件及时钟约束 二.FPGA学习笔记(二)Verilog语法初步学习(语法篇1) 三.FPGA学习笔记(三) 流水灯入门FPGA设计流程 四.FPGA ...

  2. FPGA学习笔记(七): DSB调制解调的仿真

    笔记七是DSB调制解调的仿真实现. DSB调制解调的实现原理:首先使用DDS产生低频正弦波信号作为调制信号,再用DDS产生高频信号作为载波信号,然后使用乘法器将两者相乘产生DSB信号,DSB信号与载波 ...

  3. FPGA学习笔记(八):ASK调制解调的仿真

    笔记八是ASK调制解调的仿真实现. ASK调制解调的实现原理:首先使用MATLAB产生存储基带波形的coe文件,再让ROM读取coe文件输出基带波形,然后DDS产生正弦波信号作为载波信号,接下来使用乘 ...

  4. FPGA学习笔记(八)同步/异步信号的打拍分析处理及亚稳态分析

    系列文章目录 一.FPGA学习笔记(一)入门背景.软件及时钟约束 二.FPGA学习笔记(二)Verilog语法初步学习(语法篇1) 三.FPGA学习笔记(三) 流水灯入门FPGA设计流程 四.FPGA ...

  5. FPGA学习笔记(十二)IP核之FIFO的学习总结

    系列文章目录 一.FPGA学习笔记(一)入门背景.软件及时钟约束 二.FPGA学习笔记(二)Verilog语法初步学习(语法篇1) 三.FPGA学习笔记(三) 流水灯入门FPGA设计流程 四.FPGA ...

  6. 小梅哥FPGA学习笔记

    小梅哥FPGA学习笔记 一.38译码器 功能: 译码器其任一时刻的稳态输出,仅仅与该时刻的输入变量的取值有关,它是一种多输入多输出的组合逻辑电路,负责将二进制代码翻译为特定的对象(如逻辑电平等).38 ...

  7. FPGA学习笔记_ROM核调用与调试

    FPGA学习笔记 ROM核调用与调试 1. ROM存储器IP核的使用 2. 创建.mif文件 3. In system memory content editor内存查看工具的使用 4. Signal ...

  8. FPGA学习笔记——分频电路设计

    FPGA学习笔记--分频电路设计 发布时间:2015-10-3023:29:52 分频就是用一个时钟信号通过一定的电路结构变成不同频率的时钟信号,这里介绍一下整数分频电路的设计方法.整数分频电路有偶数 ...

  9. FPGA学习笔记_UART串口协议_串口接收端设计

    FPGA学习笔记 1. UART串口协议以及串口接收端设计 1 原理图 2 Verilog 代码 3 Modelsim仿真 4. FPGA板级验证 1.1 串口协议接收端设计 目标:FPGA接收其他设 ...

最新文章

  1. Unity创建游戏VFX视觉特效-初级到中级
  2. 【报告解读】126个国家、29个行业、36位高管认为AI的未来这么走
  3. 【按住你的心】——Android开发CheckBoxRadioButton控件的简单使用
  4. 【废弃】【WIP】JavaScript 函数
  5. Google浏览器截长图 不需要借助任何插件!!!
  6. height百分比以及高度自适应问题
  7. Nacos 集群搭建_01
  8. 射击比赛(java)
  9. 【然天一】随机读写(4k)百盘天梯
  10. 【月薪三万】听说深圳老师工资全国最高!!!比德国还高
  11. cocos2d之z轴位置示例
  12. C++:STL之vector,deque对比
  13. 如何用C++从文件读取学生成绩再求出平均成绩送回文件中
  14. 定制Eclipse IDE之功能篇(二)
  15. 自考 软件工程专业 07169 软件开发工具
  16. 放大电路中反馈及类型的判断
  17. CDR无法启动此程序 因为计算机丢失,教您快速解决CorelDRAW X7因缺少VGCore.dll无法启动的难题...
  18. 物联网无线技术具体是怎么分类的,主要的应用场景是什么?
  19. Java Web 开发实战经典 基础篇(1)
  20. 图片批量压缩方法及步骤

热门文章

  1. markdown 换行
  2. 《电路分析基础》第1章 电路模型和电路元件 读书笔记
  3. S5PV210 USB下载程序
  4. 职场霸凌,已经这么猖獗了?
  5. 精益软件开发的思想_精益软件开发原理快速指南
  6. notability录音定位_《Notability》详细教程,助你开启学霸之路
  7. Hudi学习一:Hudi简介
  8. 读书笔记 -《高效程序猿的45个习惯-敏捷开发修炼之道》
  9. C++ 从入门到入土 #1
  10. Excel从入门到入土