加法链POJ2248

思路

题干在这:POJ2248
m迭代加深dfs,注意剪枝

ac代码

#include<cstdio>
#include<iostream>
#include<algorithm>
#include<cmath>
#include<map>
using namespace std;
int a[200] = { 0,1 };
int n;
int dep;
bool dfs(int i) {if (i > dep) {if (a[dep] == n)return true;elsereturn false;}bool solve[200] = { 0 };for (int j = i - 1; j >= 1; j--) {for (int k = j; k >= 1; k--) {if (a[j] + a[k] <= a[i - 1]) {break;}if (solve[a[j] + a[k]] == false) {a[i] = a[j] + a[k];if (dfs(i + 1))return true;a[i] = 0;solve[a[j] + a[k]] = true;}}}return false;
}
int main() {while (scanf_s("%d",&n) && n) {if (n == 1) {printf("1\n");continue;}dep = 2;while (!dfs(2)) {dep++;}for (int i = 1; i <= dep; i++) {printf("%d", a[i]);if (i != dep)printf(" ");elseprintf("\n");}}
}

加法链POJ2248相关推荐

  1. c语言最短加法链问题回溯法,《回溯法习题》PPT课件.ppt

    1,课程安排,第5章 回溯法习题课,3,第5章 回溯法习题,子集和问题 最小长度电路板排列问题 最小重量机器设计问题 运动员最佳匹配问题 无分隔符字典问题 无和集问题 n色方柱问题 整数变换问题 拉丁 ...

  2. POJ 2248【加法链】

    描述 对于一个数列a1,a2......am,其中a1 = 1,am = n , a1 < a2 < ... < am-1 < am 对于每个k(2<=k<=m), ...

  3. DSP48E Slice

    提升性能.增加功能.提高效率.降低功耗 所有 Virtex™-5 器件内的 550 MHz DSP48E Slice 可以加速算法,并且同上一代 Virtex 器件相比其 DSP 集成度更高.功耗也更 ...

  4. POJ - 2248 Addition Chains(dfs+迭代加深)

    题目链接:点击查看 题目大意:我们规定加法链有如下特点: 现在给出一个数n,求该数的最短加法链 题目分析:这个题首先我们可以枚举所有可能性,并且进行适当的剪枝: 当前长度大于等于最优解时回溯 当前值等 ...

  5. 浅谈密码学中数论基础

    1.模运算(mod) 模运算也可以称为取余运算,例如 23≡11(mod12),因此如果a=kn+b,也可以表示为a ≡ b(mod n),运算规则: (a+b) mod n = ((a mod n) ...

  6. Trias技术丨关于椭圆曲线密码学的探究

    椭圆曲线密码学(ECC),是一种基于椭圆曲线数学诞生的非对称秘钥加密的算法,加密过后只有特定的人才能对其进行解密.例如,ECC可用于确保用户在发送电子邮件时,除了收件人之外,没有人可以阅读这封邮件. ...

  7. 数学与泛型编程(6)编程的基本概念

    数学与泛型编程   数学与泛型编程(1)埃及乘法.加法链_nameofcsdn的博客-CSDN博客_数学与泛型编程 目录 一,范畴篇 二,类型type.概念concept 三,类型函数及类型属性函数 ...

  8. 浅谈*迭代加深*深度优先搜索

    目录 算法核心概念及思想 算法的产生 概念 拯救时间的函数 例题:<加法链> 如题,由于此文章只讲"迭代加深",所以请先了解what "深度优先搜索" ...

  9. 嵌入式开发板硬件操作入门学习9——集成电路芯片手册术语词汇表(中英文对照)

    原创链接:集成电路芯片半导体中英文对照术语词汇表 英语 中文 1-9 10 gigabit 10 Gb 1st Nyquist zone 第一奈奎斯特区域 3D full‑wave electroma ...

最新文章

  1. R语言ggplot2可视化堆叠的条形图(stacked bar plot)并在每一个条形图的的中间添加对应的数值、值标签定位在geom_col堆叠的条形图中的每个条形段的中间
  2. CAS (3) —— Mac下配置CAS客户端经代理访问Tomcat CAS
  3. 【导纳分析】基于FPGA的导纳分析仪的verilog设计
  4. 使用 JSSE 定制 SSL 连接的属性--转载
  5. 企业实施SAP项目的得与失
  6. 14_Android中Service的使用,关于广播接收者的说明
  7. react 判断图片是否加载完成_React中型项目的优化实践
  8. C++实现MD5加密
  9. es6入门6--数组拓展运算符,Array.from()基本用法
  10. springboot 毕业设计管理系统
  11. Vue阿里云物流API
  12. 软件打不开且显示乱码的解决办法
  13. 分销系统之项目架构(第一篇)
  14. 企业上云是什么意思?企业上云的好处是什么?
  15. 如何搭建DHCP服务器及自动获取IP地址及相关操作
  16. 小武与GPU与pytorch的bug 还有反向传播
  17. 未来几年,谁能拯救手机拍照功能? 1
  18. Ardupilot移植经验分享(1)
  19. EXCEL中数字显示为E+18且尾数为0的解决方法
  20. 图解最常用的10个机器学习算法!(必须收藏)

热门文章

  1. java计算机毕业设计政府人才机构在线考试系统2021源码+mysql数据库+系统+lw文档+部署
  2. python股票分析系统代码_Python 代码学习,用于股票分析
  3. 健康菜谱微信小程序+项目前后台源码(JavaSSM+Mysql)
  4. GEF原理及实现系列(一、GEF概述)
  5. Stimulsoft Reports.JAVA 2022.4.3 Crack
  6. 如何在NLP领域做成一件事by周明ACL计算语言学会候任主席(附PDF公号发“NLP做事”下载rar讲座PPT等10文件)...
  7. windows自带录屏_电脑录屏有哪些快捷键?设置帮助电脑快速录屏
  8. pbootcms网站模板安装教程图文详解
  9. 单细胞测序分析及单细胞转录组
  10. proteus常用元件图示和名称介绍