1.软件版本

ISE14.7+ Modelsim SE-64 10.1c

2.本算法理论知识

3.核心代码

`timescale 1ns / 1ps
//
// Company:
// Engineer:
//
// Create Date:    14:51:45 08/26/2020
// Design Name:
// Module Name:    mysys
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//
module mysys(input i_clk,input i_rst,input  signed[11:0]i_Vin,//将外部的DAC输入接这里output signed[11:0]o_sin1,output signed[11:0]o_sin2,output signed[11:0]o_sin3,output signed[11:0]o_sin4,output signed[11:0]o_Vout,//接到DA输出混叠正弦信号//乘法输出output signed[23:0]o_rsin1,output signed[23:0]o_rcos1,    output signed[23:0]o_rsin2,output signed[23:0]o_rcos2,  output signed[23:0]o_rsin3,output signed[23:0]o_rcos3,  output signed[23:0]o_rsin4,output signed[23:0]o_rcos4,                       //积分输出output signed[15:0]o_Rxs1,output signed[15:0]o_Rxc1,output signed[15:0]o_Rxs2,output signed[15:0]o_Rxc2,output signed[15:0]o_Rxs3,output signed[15:0]o_Rxc3,output signed[15:0]o_Rxs4,output signed[15:0]o_Rxc4   );//频率配置参数
parameter address1 = 32'd400000000;//400000000/2^32*100e6;
parameter address2 = 32'd200000000;//200000000/2^32*100e6;
parameter address3 = 32'd100000000;//100000000/2^32*100e6;
parameter address4 = 32'd50000000;//50000000/2^32*100e6;//DA输出,接到你外部的网络中
sin_4out sin_4out_u(.i_clk     (i_clk), .i_rst     (i_rst), .i_address1(address1), .i_address2(address2), .i_address3(address3), .i_address4(address4), .o_sin1    (o_sin1), .o_sin2    (o_sin2), .o_sin3    (o_sin3), .o_sin4    (o_sin4), .Vout      (o_Vout)//接到DA输出混叠正弦信号);//然后是AD输入
//ADC输入采样Vin后,对信号进行检波处理,乘法器将Vin与8路参考数字信号(4个频率点的正弦及余弦,FPGA内部产生)相乘并积分(积分时间可调)得到其数值。
check_tops check_tops_u(.i_clk     (i_clk), .i_rst     (i_rst), .i_address1(address1), .i_address2(address2), .i_address3(address3), .i_address4(address4), .i_Vin     (i_Vin),  .o_rsin1   (o_rsin1), .o_rcos1   (o_rcos1), .o_rsin2   (o_rsin2), .o_rcos2   (o_rcos2), .o_rsin3   (o_rsin3), .o_rcos3   (o_rcos3), .o_rsin4   (o_rsin4), .o_rcos4   (o_rcos4), .o_Rxs1    (o_Rxs1), .o_Rxc1    (o_Rxc1), .o_Rxs2    (o_Rxs2), .o_Rxc2    (o_Rxc2), .o_Rxs3    (o_Rxs3), .o_Rxc3    (o_Rxc3), .o_Rxs4    (o_Rxs4), .o_Rxc4    (o_Rxc4));//8个数据进行串口设计    endmodule

4.操作步骤与仿真结论

5.参考文献

A37-07

6.完整源码获得方式

方式1:微信或者QQ联系博主

方式2:订阅MATLAB/FPGA教程,免费获得教程案例以及任意2份完整源码

【导纳分析】基于FPGA的导纳分析仪的verilog设计相关推荐

  1. 基于FPGA的简易DDS信号发生器的设计(一)

    写这篇文章的本意不是为了探讨AD9767怎么使用,因为9767的控制实在是太简单了,准备好数据直接输出即可,和网上大多数的并行DA输出基本上一模一样,更麻烦的反而是硬件方面.发文的原因是最近一位很细心 ...

  2. 基于FPGA的简易DDS信号发生器的设计与验证

    基于FPGA的简易DDS信号发生器的设计与验证 一,理论介绍 补充:举例理解 二,代码实现 1,实验目标 2,MATLAB代码 3,verilog代码及实现思路 一,理论介绍 DDS 是直接数字式频率 ...

  3. 基于FPGA的CAN总线控制器的设计(下)

    今天给大侠带来基于FPGA的CAN总线控制器的设计,由于篇幅较长,分三篇.今天带来第三篇,下篇,程序的仿真与测试以及总结.话不多说,上货. 导读 CAN 总线(Controller Area Netw ...

  4. 基于 FPGA 的模拟 I²C协议设计(中)

    今天给大侠带来基于FPGA的 模拟 I²C 协议设计,由于篇幅较长,分三篇.今天带来第二篇,中篇,I²C 协议的具体实现.话不多说,上货. 之前也有相关文章介绍,这里超链接一下,仅供各位大侠参考. 源 ...

  5. 基于FPGA的双路低频信号发生器设计

    基于FPGA的双路低频信号发生器设计 系统结构框 本设计是以Altera公司的可编程芯片做载体,运用Verilog作为软件设计工具,从而实现DDS原理,即信号发生器的核心思想.再加上信号发生器的硬件电 ...

  6. 基于FPGA的除法器原理介绍及设计实现

    基于FPGA的除法器原理介绍及设计实现 引言应用 在FPGA的设计应用领域里,许多电路需要用到除法器,而在硬件描述语言里却没有直接的除法器"%","/"可以直接 ...

  7. 基于FPGA的CAN总线控制器的设计(上)

    今天给大侠带来基于FPGA的CAN总线控制器的设计,由于篇幅较长,分三篇.今天带来第一篇,上篇,CAN 总线协议解析以及 CAN 通信控制器程序基本框架.话不多说,上货. 导读 CAN 总线(Cont ...

  8. 基于FPGA的音频处理芯片的设计

    基于FPGA的音频处理芯片的设计 时间:2009-01-07 10:00:18 来源:维库电子市场 作者:刘虹 黄涛 徐成 1 引言 随着数字技术日益广泛的应用,以现场可编程门阵列FPGA(Field ...

  9. 源码系列:基于FPGA的数字电压表(AD)设计

    今天给大侠带来基于FPGA的数字电压表设计,附源码,获取源码,请在"FPGA技术江湖"公众号内回复"数字电压表设计源码",可获取源码文件.话不多说,上货. 设计 ...

  10. 基于FPGA的键盘扫描程序的设计

    在现代电子「业的控制电路中,键盘扫描和显示电路时系统的调试和设置有着重要 的作用.随着EDA技术的发展,基于FPGA的扫描键盘因其结构简单,能有效防止机 械键盘按键抖动带来的数据错误等优点在许多电子设 ...

最新文章

  1. hadoop系统 hdfs 命令行操作
  2. MySQL约束不起作用怎么解决_MySQL中的CHECK约束不起作用
  3. matlab实时数据传输,利用QuaRC实现c++与simulink(matlab)之间实时双向数据传输
  4. 坦克大战系列6-API常用函数说明2
  5. A Hierarchical Reinforced Sequence Operation Method for Unsupervised Text Style Transfer
  6. 在线编辑器,富文本编辑器
  7. Cfree5可以JAVA_Free C++ (and C)
  8. 2024考研《艺术学概论》彭吉象|复习笔记(上篇)(1-6章)
  9. 职能式管理和流程式管理
  10. SVN更新操作提示需要清理操作,清理操作提示乱码,更新SVN失败【解决方案】
  11. 【转发】相似性度量学习及其在计算机视觉中的应用
  12. CMOS图像传感器OV7725数据手册
  13. 计算机做word的表格,怎么用word做表格,电脑word表格详细制作教程
  14. python绝技运用python成为顶级pdf_python绝技运用Python成为顶级黑客PDF高清文档免费下载...
  15. mac字体或windows字体安装到linux,解决linux服务器word文档生成pdf文档出现乱码
  16. Swift - 用装有控制器name的数组for循环批量创建控制器(string转UIViewController)
  17. mysql导入数据表
  18. 福建理工学校计算机类专业有哪些专业吗,福建理工学校
  19. 亲测好用!这4个免费的二维码生成器,制作简单易上手
  20. 因果关系的倒置(3)

热门文章

  1. iOS开发之详解正则表达式
  2. matlab GUI 初学
  3. 矩阵分析与多元统计II 二次型与二次曲面3 二次型及其标准形的定义
  4. PowerDesigner基本使用 - 创建逻辑数据模型
  5. CPU实模式和保护模式、全局描述符表GDT、Linux内核中GDT和IDT的结构定义
  6. BZOJ 1867 [Noi1999]钉子和小球 DP
  7. 找到数组中和为给定值的两个数
  8. php+sql创建本地项目详细步骤1——创建数据库数据表,数据库连接
  9. spring线程并发处理(ThreadLocal)
  10. 如何开启和关闭开机自启动