五分频电路(50%占空比)
设计代码
`timescale 1ns / 1ps
//
// Company:
// Engineer:
//
// Create Date: 20:28:43 05/10/2020
// Design Name:
// Module Name: five_fp
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//
module five_fp(
input clk,
input rst,
output fclk,
output reg[2:0] cnt
);

 always@(posedge clk or negedge rst)beginif(~rst)begin cnt <= 0;endelse if(cnt == 4)begincnt <= 0;endelsecnt <= cnt + 1;endreg f1clk,f2clk;always@(posedge clk or negedge rst)beginif(~rst)beginf1clk <= 1;endelse if(cnt == 1)beginf1clk <= ~f1clk;endelse if(cnt == 4)beginf1clk <= ~f1clk;endelsef1clk <= f1clk;endalways@(negedge clk or negedge rst)beginif(~rst)beginf2clk <= 1;endelse f2clk <= f1clk;endassign fclk = f1clk | f2clk;

endmodule

测试代码
`timescale 1ns / 1ps

// Company:
// Engineer:
//
// Create Date: 20:30:22 05/10/2020
// Design Name: five_fp
// Module Name: E:/verliog.test/five_fp/tb_five_fp.v
// Project Name: five_fp
// Target Device:
// Tool versions:
// Description:
//
// Verilog Test Fixture created by ISE for module: five_fp
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//

module tb_five_fp;

// Inputs
reg clk;
reg rst;// Outputs
wire fclk;
wire [2:0] cnt;// Instantiate the Unit Under Test (UUT)
five_fp uut (.clk(clk), .rst(rst), .fclk(fclk), .cnt(cnt)
);
always #10 clk = ~clk;
initial begin// Initialize Inputsclk = 0;rst = 0;// Wait 100 ns for global reset to finish#100;rst = 1;// Add stimulus hereend

endmodule

五分频电路(50%占空比)相关推荐

  1. 奇数偶数分频电路(占空比50%)

    数字电路中经常会遇到需要将时钟信号进行分频,一般分为奇数分频和偶数分频,同时对占空比的要求也不一样,常见的是需要保持占空比仍为50%.本文通过Verilog代码实现简单的分频电路.文末附上所有代码和仿 ...

  2. 分频器——(任意奇分频(50%占空比、非50%占空比),任意偶分频,任意小数分频)

    分频器定义: 在数字系统的设计中经常会碰到需要使用多个时钟的情况.时钟信号的产生通常具有两种方法,一种是使用PLL(Phase Locked Loop,锁相环),可生成倍频.分频信号:另一种则是使用硬 ...

  3. 【数字IC手撕代码】Verilog奇数分频|题目|原理|设计|仿真(三分频,五分频,奇数分频及特殊占空比)

    芯片设计验证社区·芯片爱好者聚集地·硬件相关讨论社区·数字verifier星球 四社区联合力荐!近500篇数字IC精品文章收录! [数字IC精品文章收录]学习路线·基础知识·总线·脚本语言·芯片求职· ...

  4. 占空比可调的分频电路实现

    在时序逻辑电路中,除了实现时钟的奇偶分频,还会涉及到时钟的占空比问题,现就该问题进行如下分析. 实现占空比为50%的分频电路很简单,对于偶分频电路只需将cnt计数到一半时进行输出q的翻转即可.比如8分 ...

  5. 数字芯片设计中的时钟分频电路,你了解多少?

    时钟分频电路在数字芯片设计中非常常见,而且也非常重要,正确的符合要求的数字分频电路对功能的正确与否至关重要.现在数字电路设计中的时钟分频主要包括以下几种方法: 1.寄存器分频 寄存器分频就是利用寄存器 ...

  6. 奇数分频电路—5分频(verilog实现)

    文章目录 前言 占空比不为50%的奇数分频 占空比为50%的5分频电路 扩展 前言 前文我们讲到,可以通过计数器的方法实现偶数分频,实现方式可以参考4分频电路实现 那么对于奇数分频电路应该如何实现呢? ...

  7. 设计占空比为50%的三分频电路

    设计占空比为50%的三分频电路 时序图工具 {signal: [ {name: 'clk', wave: 'P-P-'}, {name: 'clk1', wave: 'H.LH.LH.L'}, {na ...

  8. 题目:用Verilog实现三分频电路,要求输出50%占空比。

    题目:用Verilog实现三分频电路,要求输出50%占空比. module Div_three(input clk,input rst_n,output div_three ); reg [1:0] ...

  9. Verilog 实现占空比为3/5 2/5 1/5 50% 的五分频信号 断言SVA查看波形

    1. 3/5 2/5 1/5占空比的五分频 module div5(clk,reset,clkout); input clk,reset; output reg clkout;//定义输出端 wire ...

最新文章

  1. IT运维人员追女友必备神器之运维开发实战程序案例
  2. 微软nni_实践空间站 | 为微软官方开源项目贡献代码,你准备好了吗?
  3. R语言all函数、any函数判断逻辑向量(logical vector)实战
  4. python3 for mac_PyCharm for Mac-PyCharm Mac版下载 V2018.3.2-PC6苹果网
  5. Hyper-V下虚拟机无法启动的故障排除
  6. NFC能否成为“标配”?
  7. angular6父子组件之间的引入报错
  8. HTML5/CSS3系列教程:HTML5 区域(Sectioning)的重要性
  9. 设计数据库表时,你真的会选数据类型吗
  10. android+微信一键关注,一键关注微信公众平台JS代码有哪些?
  11. 10.11 安装pod
  12. python 类定义 垃圾_什么是python对象摧毁?python中的对象摧毁(垃圾回收)机制是什么?...
  13. 多密钥ssh-key生成与管理
  14. oracle form执行后左上角没出现oracle标记,Oracle FORM 开发技巧(1)
  15. python自学记录 pydev安装
  16. matlab中适应度函数怎么编写,matlab常用的几个适应度评价函数
  17. 透明背景视频的制作与应用
  18. No HttpMessageConverter for com.ysd.entity.Users
  19. Codeforces::GYM 102896E Easy Measurements
  20. X61的intel wireless 3945abg 不再掉线了

热门文章

  1. 微信号名称乱码什么情况_微信号改成什么好?
  2. 苹果电脑虚拟键盘怎么打开
  3. 2021年高处安装、维护、拆除考试试卷及高处安装、维护、拆除证考试
  4. 被动信息收集:使用OSINT框架进行信息收集
  5. tensorflow应用系列3:使用tensorflow完成多项式回归
  6. Spring @Aspect简单使用
  7. ANSYS 有限元分析 后处理 General Postproc
  8. 【翻译】揭开HTML5的神秘面纱
  9. adb: failed to install xxx Failure [INSTALL_FAILED_TEST_ONLY: installPackageLI]
  10. python用百度云接口实现植物识别和动物识别