之前的项目中更多的是有师兄提供经验和帮助,追求的是快速上手,所以不管对于硬件电路设计,还是verilog电路编程,甚至是FPGA内部的资源,都没来得及系统地学习,最近在做算法到电路的实现,正好系统学习,将感悟记于此,如有错误,欢迎指出、讨论。


一、关于时钟引脚

FPGA芯片一般有好几组时钟引脚 CLK [0..N] [p,n],我的理解是:首先,时钟必须由外部晶振通过CLK引脚输入到FPGA的时钟网络,至于选用哪一组CLK,主要看FPGA哪个bank对时钟要求最为苛刻;其次,一般用p端,n端由quartus置位三态;再次,对于简单的系统,只有一组CLK输入作为系统主时钟,其他时钟引脚空置,或者作为某些高带载能力的时钟的输出口(是否可作为输出口,要看芯片手册);然后,复杂系统,可以有不同源的时钟分别从不同CLK引脚输入,只是猜想,没实践过,个人认为这样不好,因为FPGA的核心就是同步;另外,不要把CLK引脚和全局时钟、区域时钟什么的混为一谈,一个是时钟输入引脚,一个是内部时钟走线;最后,CLK引脚进去的走线肯定进过优化的(H型铜皮,专用的、直达每个触发器的布线资源),所以能做到小的抖动和偏斜,带载能力

图1

图2

图1所示:红圈为时钟输入引脚,当然,从时钟引脚输入的时钟必定首先进入全局时钟网络,再进一步驱动区域时钟,或者由PLL进行分/倍频==;三个黑色方框内PLL输出引脚,我的理解是:这几组引脚的布线也经过了优化,可以使PLL分/倍频后输出的时钟信号质量较好。

图2所示:CLK9p作为系统唯一的外部输入时钟源,图3

图4

         ******注意******

  1. 常规操作:外部晶振—>时钟引脚—>PLL—>区域时钟、各个模块、输出(待验证和完善,我也迷)。
  2. 关于CLK引脚选择:不是所有的CLK引脚都可以连接到所有的全局/区域时钟网路,见图5。
  3. 关于PLL:不是所有始终都可以驱动PLL,详见手册,如图6所示。

图5

图6

三、时钟资源的使用、分配

20181101提出

  • 疑问:编写逻辑电路,或者布局布线时,我怎么知道某个时钟具体使用了哪种、哪个网络?以及怎么人为地控制使用具体某个网络?

20181102补充

    • 除非是时钟资源(尤其是全局时钟资源)紧张,或者需要进行时序优化,否则,一般不用过于关心这些资源怎么使用的,quartus会根据电路逻辑,自动选择最优的布局布线。
    • 实际上,根据硬件电路和逻辑电路,也能推断出用了多少全局时钟、区域时钟。
    • 比如:clk0=50MHz,然后它在几个always中都被用到,而这几个always占用的逻辑资源分布在不同的区域,那么这个时钟应该是全局时钟;再比如:clk1=100MHz,它只在一个always里被使用,或使用它的逻辑资源都在一个区域,那么clk1应该是区域时钟。
  • https://www.cnblogs.com/zuilangsanshu/

转载于:https://www.cnblogs.com/wanghuaijun/p/9904760.html

FPGA系列之一:Cyclone V中的时钟资源相关推荐

  1. 三叔学FPGA系列之二:Cyclone V中的POR、配置、初始化,以及复位

    对于FPGA内部的复位,之前一直比较迷,这两天仔细研究官方数据手册,解开了心中的诸多疑惑,感觉自己又进步了呢..... 原创不易,转载请转原文,注明出处,谢谢.   一.关于POR(Power-On ...

  2. Xilinx FPGA中全局时钟资源的使用方法

    1. IBUFG 即输入全局缓冲,是与专用全局时钟输入管脚相连接的首级全局缓冲.所有从全局时钟管脚输入的信号必须经过IBUFG单元,否则在布局布线时会报错.IBUFG支持AGP,CTT,GTL,GTL ...

  3. Xilinx FPGA时钟资源的使用

    赛灵思FPGA时钟资源的类型:DCM.PLL.PMCD.MMCM DCM:数字时钟管理器 PLL:锁相环 PMCD:相位匹配时钟分频器 MMCM:混合模式时钟管理器 DCM实际上就是一个DLL(延迟锁 ...

  4. altera cyclone v IOE delay 设置

    在用altera fpga芯片进行项目开发时,某个源同步信号输入fpga时,或者要输出串行同步信号.由于外部走线不等长等原因,有时会遇到各个信号到达fpga不同步的问题,或输出信号到达外部器件不同步, ...

  5. Cyclone V SoC FPGA学习之路第一章:综述

    Cyclone V SoC FPGA学习之路第一章:总体了解 关键词: adaptive logic modules – ALM 自适应逻辑模块 logic array block --LAB 逻辑阵 ...

  6. Cyclone V SoC FPGA学习之路第二章:硬件篇

    Cyclone V SoC FPGA学习之路第二章:硬件篇(内部资源) 前言 上一章了解了<cycloneV device datasheet>,其中数据手册里重点介绍了电源要求,时序参数 ...

  7. 赛灵思FPGA中的主要时钟资源介绍

    把握DCM.PLL.PMCD 和MMCM 知识是稳健可靠的时钟设计策略的基础. 赛灵思在其FPGA 中提供了丰富的时钟资源,大多数设计人员在他们的FPGA 设计中或多或少都会用到.不过对FPGA设计新 ...

  8. Cyclone II FPGA系列简介(备忘)

    Altera? Cyclone? II 采用全铜层.低K值.1.2伏SRAM工艺设计,裸片尺寸被尽可能最小的优化.采用300毫米晶圆,以TSMC成功的90nm工艺技术为基础,Cyclone II 器件 ...

  9. Xilinx FPGA资源解析与使用系列——Transceiver(一)参考时钟解析

    提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 Xilinx FPGA资源解析与使用系列--Transceiver(一)参考时钟解析 前言 IP核的部分设置 Quad 的定义是什么? ...

最新文章

  1. TCP握手和传输的一次观察
  2. MFC listctrl显示缩略图时索引问题和滚动条问题
  3. ASP.NET学习笔记之操作过滤器
  4. Windows Terminal完整指南
  5. 有向图的邻接表描述 c++
  6. [转载] Python列表操作
  7. 一天测血压的最佳时间_高血压病患者,一天之内在什么时间点测血压最好?
  8. 怎样才能成为一名优秀的教师博客呢?
  9. 蓝桥杯 ADV-108算法提高 分数统计
  10. android 关闭jack_安卓编译 Jack server 错误问题解决办法
  11. 这本书,值2000亿!
  12. 安装Anaconda3 5.2.0后只有Anaconda Prompt,没有spyder等
  13. matlab小波变换、离散小波变换函数使用
  14. 针对于Vins-Mono中的评价工具evo中的评价参数的理解
  15. 微软中国2023校招【内推】全面开启!
  16. inurl home.php,phpweb /version.php Vul
  17. axure图标元件库icons满足不了需求?[一分钟教会你在axure下使用各式各样炫酷icons]!! 仅限谷歌浏览器
  18. 循环辅助:continue
  19. 今天没有写的,唱首歌吧。。
  20. Elastic-job 启动阻塞“假死”的问题

热门文章

  1. mysql join 组合索引,图文详解MySQL中两表关联的连接表如何创建索引
  2. 电脑练习打字软件_极速字根练习软件电脑版下载-极速字根练习软件免费版v0.1 最新版...
  3. python函数解读_通过7个Python函数来解释区块链
  4. android简单小项目实例_300行C代码打造简单的闹钟小程序,适合初学C++同学练手的项目...
  5. 关于单细胞批次矫正那些事(一)
  6. 是几进制_10分钟带你了解什么是二进制
  7. pdf内容怎么复制到word文档里_PDF转Word要收费?这4种免费实用的方法送你,一分钟统统能搞定...
  8. 互联网世界的“人工智能”——探秘“深度学习”的前世今生
  9. 密码学原理与实践第三版pdf_喜报!Nervos 研究员 Alan 论文被国际密码学顶会欧密会收录...
  10. 评分卡模型开发(四)--定量指标筛选