• 首先创建一个工程,因为不在硬件上实现所以芯片型号随便选一个就行

  • 创建design文件,代码来源于以下视频

    FPGA分频器的设计

module fenpin_2_pow_n(input clk,input rst,output clk2,output clk4,output clk8,output clk16);reg [3:0] cnt;assign clk2 = cnt[0];assign clk4 = cnt[1];assign clk8 = cnt[2];assign clk16 = cnt[3];always @(posedge clk or posedge rst) beginif(rst == 1'b1)cnt <= 4'b0000;elsecnt <= cnt + 1;endendmodule
  • testbench代码的编写
module testbench();reg clk;reg rst;always #10 clk = ~ clk; initial beginclk = 1'b0; rst = 1'b1;#20rst = 1'b0;endfenpin_2_pow_n inst(.clk (clk),.rst (rst),.clk2(clk2),.clk4(clk4),.clk8(clk8),.clk16(clk16));
endmodule
  • 将仿真器设置为modelsim
  • 点击run behavioral simulation,启动modeisim,在inst下按ctrl+w
  • 设置仿真时间1us
  • 看到波形图和老师讲解PPT一样
  • 在Schematic下可以看见电路结构,如果对2^8=256进行分频,则需要计数器的最高位clk256=cnt[7],这样则可能造成资源的浪费,毕竟verilog语言中描述都对应着电路结构,计数器每一位对应着一个寄存器,这样剩余6位可能就用不到了

vivado和modelsim联合仿真实现分频器——2的n次方分频相关推荐

  1. vivado和modelsim联合仿真实现偶分频

    首先创建一个工程,因为不在硬件上实现所以芯片型号随便选一个就行 创建design文件div6.v,代码来源于以下视频,稍作修改. 在复位时给输出信号clk6赋值为0,不然输出不确定 判断条件cnt为1 ...

  2. FPGA学习之路—Vivado与Modelsim联合仿真

    Vivado与Modelsim联合仿真 笔者在学习FPGA过程中遇到了如何使用Vivado和Modelsim进行联合仿真的问题,特此记录. 首先确定版本 笔者Vivado用的是2018.3版本,先是随 ...

  3. vivado和modelsim联合仿真实现占空比1:15的分频

    上一讲我们看了偶数分频 vivado和modelsim联合仿真实现偶分频,只需要在clk计数到一半时进行翻转即可,这是占空比为50%的情况,如果占空比任意数值就需要重新设计 16需要从0计数到15=4 ...

  4. 关于Vivado和Modelsim联合仿真的爱恨情仇

    关于Vivado和Modelsim联合仿真的爱恨情仇 心路历程 版本对应 仿真设置 错误解决 关于使用modelsim查看状态转移图 心路历程 vivado自带仿真工具虽然可以使用,但是每一次修改代码 ...

  5. 【FPGA】vivado和modelsim联合仿真

    文章目录 二.遇到的问题 三. 参考资料: 二.遇到的问题 1. 错误一: # ** Fatal: (vsim-3693) The minimum time resolution limit (10f ...

  6. Vivado和Modelsim联合仿真问题记录

    目录 写在前面 Modelsim安装 1.以管理员身份运行安装程序 2.去掉mgls.dll和mgl64s.dll文件"只读"属性,直接双击运行patch64_dll.bat 编译 ...

  7. Vivado与modelsim联合仿真(2018.3---10.6c)

    Vivado不同于Quartus自带仿真,但是个人觉得跑一些简单工程的仿真还是可以的,不过跑一些复杂工程的的仿真简直就是毫无体验感,建议使用第三方仿真软件进行联合仿真,这边主要推荐的Modelsim, ...

  8. Vivado与Modelsim联合仿真配置【图文并茂】

    文章目录 前言 一.编译仿真库 1.编译仿真库 2.仿真库设置 二.Vivado设置 1.在Vivado中单击Tools-Settings 2.将lib导入Modelsim 3.打开Modelsim, ...

  9. vivado 和 modelsim联合仿真

    1)随便打开一个vivado工程, 2)配置3rd party, 设置install path, D:\modeltech64_10.6e\win64, 设置default compiled libr ...

最新文章

  1. Google瓦片地图算法解析
  2. happiness is meaningful joy
  3. ExtJs2.0学习系列(5)--Ext.FormPanel之第二式
  4. 火爆GitHub!3.2k Star的可视化神器开源!
  5. 解决首次在eclipse中使用maven构建hadoop等项目时报Missing artifact sun.jdk:tools:jar:1.5.0的问题...
  6. [转]oracle分页用两层循环还是三层循环?
  7. 演过电影的无人驾驶卡车是如何炼成的?
  8. 【美赛】美赛字体格式和排版要求
  9. FULENT软件测试工程师头像,fluent软件应用超详细实例
  10. 【蓝桥杯单片机组模块】13、NEC 红外通信 - vs1838B
  11. 敏捷转型中的敏态与稳态
  12. 超定方程 matlab,Matlab求解超定方程组实例(精品文档)
  13. nextcloud配置邮箱发送邮件
  14. java学习总结(16.07.16)Random类和BigDecimal类
  15. SpringBoot - OAuth2第三方登录之QQ登录
  16. python制作炫酷吊炸天的二维码
  17. Java回炉之File
  18. python安装第三方库re_音频处理 windows10下python三方库librosa安装教程
  19. Java 变量作用域、构造方法官方教程
  20. 重读《学习JavaScript数据结构与算法-第三版》- 第6章 链表(一)

热门文章

  1. 【miscellaneous】语音识别工具箱综述和产品介绍
  2. Koa中使用art-template模板引擎,以及如何接受post请求等
  3. 【动态规划笔记】完全背包问题及优化
  4. 蓝桥杯1427: -买不到的数目(百钱百鸡问题变体)
  5. 剑指offer||3 前n个数二进制数中1的个数
  6. 服务器设置站点网站为本地IP
  7. Helm 3 完整教程(四):编写第一个 chart
  8. pip安装包报错Could not find a version that satisfies the requirement pymysql (from versions: none)
  9. 使用Latex制作分享,演讲,Presentation用的Slides,PPT——Beamer教程
  10. 【2021牛客暑期多校训练营5】Double Strings(二维字符串dp)