Vivado不同于Quartus自带仿真,但是个人觉得跑一些简单工程的仿真还是可以的,不过跑一些复杂工程的的仿真简直就是毫无体验感,建议使用第三方仿真软件进行联合仿真,这边主要推荐的Modelsim,下面将介绍主要的操作步骤。

首先确定下自己的Vivado版本和适配的ModelSim版本,大家不知道的可以去网上查阅,博主这边使用的版本是Vivado2018.3,与之匹配的ModelSim版本是10.6c。(有时候版本不匹配也能用,不过还是建议尽量匹配版本的好,出现仿真问题是会让你很头疼)

操作步骤

(1)打开编译库设置界面

打开vivado,点击Tools---Compile Simulition Libraries

(2)生成库函数

Simulator选择ModelSim Simulator

Language,Library,Family选择全部(All)

Compiled library location用于设置库函数的位置,一般在ModelSim的安装路径下新建一个Vivado2018.3_lib文件夹。

(例:E:/modelsim/modelsim_10.6c/MODELSIM/win64pe/Vivado_lib)

Simulator executable path是Modelsim的启动路径

(例:E:/modelsim/modelsim_10.6c/modelsim/win64pe)

其余如图所示默认即可(Compile Xilinx IP 一定要进行勾选,否则所有的IP核都不能进行仿真)

点击Compile生成库函数

(3)等待编译完成,检查是否有编译错误

这个生成时间比较久(大概30分钟左右),建议点击Background(后台运行),下方Tcl Console 可以看到百分比进度。

编译完成后发现有一个错误,是一个ip核有问题,由于这个ip核不常用,可以直接忽略(要是你们的没错误就更好了,这个大概是因为系统64位导致的,具体就不用太过于深究)。

(4)设置全局变量

编译完成后将会生成如下图所示文件。

这时我们需要将modelsim设置为用户全局变量,如下图所示,将变量名设置为MODELSIM,变量值为modelsim.ini的路径。

(5)设置Modelsim仿真软件安装的路径,以及编译库的路径

点击Tools-Settings

点开后进入如下界面

①设置Modelsim的启动路径(例:E:/modelsim/modelsim_10.6c/modelsim/win64pe)

②设置刚刚编译库的位置(例:E:/modelsim/modelsim_10.6c/MODELSIM/win64pe/Vivado_lib)

点击确定

此时已经完成联合仿真设置步骤,大家可以自行检验是否成功。

Vivado与modelsim联合仿真(2018.3---10.6c)相关推荐

  1. FPGA学习之路—Vivado与Modelsim联合仿真

    Vivado与Modelsim联合仿真 笔者在学习FPGA过程中遇到了如何使用Vivado和Modelsim进行联合仿真的问题,特此记录. 首先确定版本 笔者Vivado用的是2018.3版本,先是随 ...

  2. vivado和modelsim联合仿真实现占空比1:15的分频

    上一讲我们看了偶数分频 vivado和modelsim联合仿真实现偶分频,只需要在clk计数到一半时进行翻转即可,这是占空比为50%的情况,如果占空比任意数值就需要重新设计 16需要从0计数到15=4 ...

  3. vivado和modelsim联合仿真实现偶分频

    首先创建一个工程,因为不在硬件上实现所以芯片型号随便选一个就行 创建design文件div6.v,代码来源于以下视频,稍作修改. 在复位时给输出信号clk6赋值为0,不然输出不确定 判断条件cnt为1 ...

  4. 关于Vivado和Modelsim联合仿真的爱恨情仇

    关于Vivado和Modelsim联合仿真的爱恨情仇 心路历程 版本对应 仿真设置 错误解决 关于使用modelsim查看状态转移图 心路历程 vivado自带仿真工具虽然可以使用,但是每一次修改代码 ...

  5. 【FPGA】vivado和modelsim联合仿真

    文章目录 二.遇到的问题 三. 参考资料: 二.遇到的问题 1. 错误一: # ** Fatal: (vsim-3693) The minimum time resolution limit (10f ...

  6. Vivado和Modelsim联合仿真问题记录

    目录 写在前面 Modelsim安装 1.以管理员身份运行安装程序 2.去掉mgls.dll和mgl64s.dll文件"只读"属性,直接双击运行patch64_dll.bat 编译 ...

  7. vivado 和 modelsim联合仿真

    1)随便打开一个vivado工程, 2)配置3rd party, 设置install path, D:\modeltech64_10.6e\win64, 设置default compiled libr ...

  8. Vivado与Modelsim联合仿真配置【图文并茂】

    文章目录 前言 一.编译仿真库 1.编译仿真库 2.仿真库设置 二.Vivado设置 1.在Vivado中单击Tools-Settings 2.将lib导入Modelsim 3.打开Modelsim, ...

  9. vivado和modelsim联合仿真实现分频器——2的n次方分频

    首先创建一个工程,因为不在硬件上实现所以芯片型号随便选一个就行 创建design文件,代码来源于以下视频 FPGA分频器的设计 module fenpin_2_pow_n(input clk,inpu ...

最新文章

  1. 腾讯开源分布式NoSQL存储系统DCache | 技术头条
  2. @Async的使用、原理及使用时可能导致的问题
  3. IIS部署asp.net core webapi
  4. 最大后验概率估计算法
  5. Java URL处理
  6. 使用证书创建数据库镜像
  7. 史上最强Dubbo面试28题答案详解:核心功能+服务治理+架构设计等
  8. linux下反删除软件,浅谈Linux文件系统反删除方法(二)
  9. 御宅男YznCMS开源后台管理系统源码
  10. 系统gpu 调试_KubeFlow上的GPU即服务:快速,可扩展且高效的ML
  11. UVA10284 POJ2512 Chessboard in FEN【国际象棋】
  12. 解决 jsp:include 引用文件时出现乱码的问题
  13. rk3399_android7.1关于看门狗驱动的实现原理说明
  14. 20155334 2016-2017-2 《Java程序设计》第三周学习总结
  15. 机器学习项目实战(一) 鸢尾花
  16. Vue.js+axios制作的仿酷狗音乐-音乐播放界面
  17. mongo数据库之修改器的简单使用
  18. 如何回答「你的优势和劣势是什么」?【面试核心问题6】
  19. win7 下修改无限网卡Mac地址
  20. 18款最佳Bug跟踪管理系统

热门文章

  1. Android几种数据存储的对比(MMKV、DataStore、SharedPreference)
  2. h5广告与html5,那些刷爆朋友圈儿的H5广告大揭秘
  3. iOS 发布证书申请 (转载)
  4. TF-IDF 算法详解及 Python 实现
  5. 第2章第27节:英文排版技巧:大间距与大行距的应用 [PowerPoint精美幻灯片实战教程]
  6. ssh服务器(自己的一点心得和操作总结)
  7. Web安全之跨站脚本攻击漏洞
  8. Asp.Net CRM客户关系管理系统
  9. PRIMES is in P
  10. H5项目常见问题汇总及解决方案 1