首先电脑上要安装好这两款软件并破解,我的电脑上目前安装的是Modelsim6.5e和Debussy5.4V9。

1、拷贝文件..\Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll至文件夹..\modeltech_6.5\win32

2、取消文件..\modeltech_6.5\modelsim.ini的只读属性后,打开,找到

; Veriuser = veriuser.sl

改为

Veriuser = novas.dll

注意将前面的;去掉。

这算是环境配置吧。接下来就是实际操作了

1、首先在testbench文件里面加上如下代码

//调用ModelSim生成波形文件,并保存为wave.fsdb,供Debussy查看
// dump fsdb file for debussy
initial
begin$fsdbDumpfile("wave.fsdb");$fsdbDumpvars;
end

2、编写HDL文件列表文件:rtl.f,以我的为例:

//*********目录: ./testbench/-------------------------------------------------------------/
../testbench/TFT_tb.v
../testbench/mt48lc8m16a2.v//---------目录: ./src/  -----------------------------------------------------------------/
../src/CONTROL_UNIT.v
../src/LCD.v
../src/MCU.v
../src/SPI_CTL.v
../src/TFT.v
../src/TOUCH.v//--------目录: ./src/sdram/-------------------------------------------------------------/
../src/sdram/sdr_ctrl.v
../src/sdram/sdr_data.v
../src/sdram/sdr_par.txt
../src/sdram/sdr_sig.v
../src/sdram/sdr_top.v

3、编写Modelsim命令行脚本文件sim.do

../src/sdram/sdr_sig.v
../src/sdram/sdr_top.v3、编写Modelsim命令行脚本文件sim.dovlib work             ;#建立work库
vlog -f rtl.f         ;#添加工程文件
vsim work.TFT_tb ;    ;#仿真  需要按需要修改为相应的顶层文件
run 10ms              ;#仿真10ms
q                     ;#退出

4、编写批处理脚本文件run.bat

::关闭回显
@ECHO OFF
::Modelsim Command
echo "Runing Modesim6.5e......"
D:\modeltech_6.5e\win32\vsim.exe -c -do sim.do
::删除Modelsim生成的相关文件
echo "Delete Intermediate File Generated By Modesim6.5e"
RD work /s /q
DEL transcript vsim.wlf /q
::Debussy Command
echo "Runing Debussy5.4v9......"
D:\Novas\Debussy\bin\Debussy.exe -f rtl.f -ssf wave.fsdb -2001
::删除波形文件
echo "Delete wave.fsdb......"
DEL wave.fsdb /q
::删除Debussy生成的相关文件
echo "Delete Intermediate File Generated By Debussy5.4v9"
RD Debussy.exeLog /s /q
DEL debussy.rc /q
::退出命令行
EXIT

这里面的配置需要按照具体路径和配置来更改

然后点击run.bat,最后就会将HDL代码使用Modelsim进行仿真,然后调用Debussy软件查看了。

modelsim是windows比较常用的仿真工具,虽然编译没有VCS快,但是比较方便。但是调适能力却比不debussy,而debussy没有编译能力,所有一般设计者在windows下习惯使用modelsim+debussy.本文将详细介绍Modelsim+debussy调试基本步骤:

Modelsim阶段:

a.将debussy安装目录下的\share\PLI\modelsim_fli54\WINT下的novas.dll拷贝到modelsim安装目录下的win32文件加下。

b.将modelsim安装路径下的modelsim.ini中的;Veriuser = veriuser.sl,改为Veriuser=novas.dll,注意吧“;”去掉。

c.在testbench中写入initial begin $fsdbDumpfile("wave.fdsb"); $fsdbDumpvars; end

d.仿真testbench后,在vsim中用quit -sim,结束仿真。如果不这样,在用debussy添加波形时,不会出现波形。

注意:起初用的是Modelsim SE10.0,但是设置不成功;之后用Modelsim SE6.1 就OK了。

Modelsim+Debussy联合使用相关推荐

  1. Modelsim与debussy联合仿真

    Modelsim与debussy联合仿真 modelsim是很好的波形查看工具,而debussy查看代码就非常方便.两种工具相结合各取其长处,对fpga代码的编写和仿真就非常方便,极大提好效率. 步骤 ...

  2. 通过文件读写方式实现Matlab和Modelsim的联合仿真

    虽然 Modelsim 的功能非常强大,仿真的波形可以以多种形式进行显示,但是当涉及到数字信号处理的算法的仿真验证的时候,则显得有点不足.而进行数字信号处理是 Matlab 的强项,不但有大量的关于数 ...

  3. vivado与modelsim的联合仿真(二)

           最近在做Zynq的项目,曾经尝试使用ISE+PlanAhead+XPS+SDK组合和Vivado+SDK来搭建工程,使用中发现前者及其不方便后者有诸多不稳定.近期得闻Xilinx退出Vi ...

  4. Quartus同Modelsim的联合仿真

    我这里用到的Quartus是18.1版本的,Modelsim是自带的(注:我这里在设置Modelsim是Modelsim-Altera). 1.我们事先在桌面创建一个命名为test的文件,用来存放qu ...

  5. matlab chipscope,Xilinx Chipscope与matlab和Modelsim的联合

    借助matlab对chipscope采集的数据进行分析 1.首先按平时一样用chipscope对数据进行采样!不过为了方便以后导入matlab查看,这里我们建议查看采样信号要使用bus总线方式,这个不 ...

  6. modelsim与debussy联合的问题

    最近用modelsim仿真,老出现Warning: (vsim-PLI-3003) F:/project/net_cfg/test_top.v(148):[TOFD] - System task or ...

  7. Xilinx FPGA 仿真环境设置(ISE + Modelsim + Debussy)

    目的:使用ISE调用modelsim进行仿真,并使用debussy查看仿真波形 准备: 安装ISE.Modelsim和Debussy软件 将C:\modeltech_6.5a\modelsim.ini ...

  8. hls和modelsim进行联合仿真

    在solution下点击Run C/RTL Cosimulation 选择仿真器为Modelsim,Dump Trace选择all,选择编译库地址为之前在vivado中生成的器件地址,没生成的可以重新 ...

  9. ModelSim+Debussy联调

    一. 概述 Debussy是NOVAS Software, Inc ( 思源科技 )发展的HDL Debug & Analysis tool,这套软体主要不是用来跑模拟或看波形,它最强大的功能 ...

最新文章

  1. QT利用QCustomplot绘制折线图海底声速梯度图,解决一条曲线中一个X值对应两个Y值
  2. Linux web服务器初始化设置
  3. java string 异或_Java源码——String
  4. mysql建表2个索引是啥意思_Mysql建表与索引使用规范详解
  5. Spring框架IOC的实现
  6. SQL Sever 博客文章目录(2016-07-06更新)
  7. Spring Security简介和认识
  8. 【环境搭建】在Ubuntu16.04上搭建Nginx-rtmp流媒体服务器
  9. Fences隐藏桌面图标快捷方式箭头
  10. 【MySQL】轻松学习 唯一索引
  11. html中背景条纹效果,CSS3做出条纹大背景
  12. 2022年黑五软件促销
  13. 2022年茶艺师(中级)考试模拟100题模拟考试平台操作
  14. Molecular weight相对分子质量
  15. laravel 将汉字转化成拼音
  16. Tomcat之——宕机自动重启和每日定时启动tomcat
  17. maven项目查看依赖树
  18. 【密码学】DES加解密原理及其Java实现算法
  19. 软件开发中产品与项目区别
  20. 企业是否需要crm系统?crm对企业有什么好处?

热门文章

  1. 直播预告|灵动MM32 MCU助力全国大学生智能汽车竞赛——基础培训第二讲
  2. 2021年春季学期-信号与系统-第一次作业参考答案-第二题
  3. 特使招募-航天三院-智能车竞赛
  4. 聚沙成塔 : 第十六届智能车竞赛规则你一言,我一语
  5. u盘锁电脑_Win10电脑无法识别U盘?这5步操作就能轻松搞定,收藏备用
  6. 最好的浏览器排行榜_PG是最好的数据库;TiDB 4.0前瞻;SequoiaDB高可用原理;20c DG新特性... 数据库周刊第18期...
  7. mysql count 类型_MYSQL的COUNT函数
  8. html里五角星怎么打,html怎么显示五角星 几分给几个五角星
  9. 安卓怎么连接服务器的数据库文件,安卓怎么连接服务器的数据库文件
  10. 计算机三级考试c,全国计算机等级考试——三级c语言机试答案