一、环境搭建

- 软件安装略。在官网下载即可,有免费个人使用的社区版。

- 程序下载:装好驱动直接点这个就可以下载

二、代码(如下三个文件)

- 顶层模块(文件main.v)

module top_hdl(input sys_clk,  input sys_rst_n,output reg led
);
localparam CNT_MAX = 27_000;
localparam precision = 27;reg flag;
reg [14:0] cnt;
reg [14:0] Duty_cycle_ratio;initial beginflag = 1'b0;cnt = 15'b0;Duty_cycle_ratio = 15'b0;
endalways @ (posedge sys_clk) beginif(!sys_rst_n) begincnt <= 15'd0;end else beginif(cnt >= CNT_MAX)begincnt <= 15'd0;end else begincnt <= cnt + 15'd1;endend
endalways @(posedge sys_clk)beginif(sys_rst_n == 1'b0)beginflag <= 1'b0;end else if(Duty_cycle_ratio == CNT_MAX)beginflag <= ~flag;end
endalways @(posedge sys_clk)beginif(sys_rst_n == 1'b0)beginDuty_cycle_ratio <= 15'b0;end else if(cnt == 15'b0) beginif(Duty_cycle_ratio < CNT_MAX)Duty_cycle_ratio <= Duty_cycle_ratio + precision;else Duty_cycle_ratio <= Duty_cycle_ratio;end else beginif(Duty_cycle_ratio == CNT_MAX)Duty_cycle_ratio <= 15'b0;else Duty_cycle_ratio <= Duty_cycle_ratio;end
endalways @(posedge sys_clk)beginif(sys_rst_n == 1'b0)beginled <= 1'b0;end else if(Duty_cycle_ratio >= cnt && flag == 1'b0)beginled <= 1'b0;end else if(Duty_cycle_ratio < cnt && flag == 1'b0)beginled <= 1'b1;end else if(Duty_cycle_ratio >= cnt && flag == 1'b1)beginled <= 1'b1;end else beginled <= 1'b0;end
endendmodule   //top_hdl end

- 测试文件(文件testbeach.v)

`timescale 1ns/1psmodule top_hdl_tb;localparam PERIOD = 37;      //nsreg clk;
reg rst_n;always #(PERIOD/2) clk <= !clk;initial begin rst_n = 0;clk = 0;#(PERIOD*100)rst_n = 1;
endtop_hdl top_hdl_ut0(.sys_clk(clk),  .sys_rst_n(rst_n),.led()
);endmodule   //top_hdl_tb end

- 引脚约束文件(文件kb1.cst)

IO_LOC "led" 10;
IO_PORT "led" PULL_MODE=NONE DRIVE=8;
IO_LOC "sys_rst_n" 14;
IO_PORT "sys_rst_n" PULL_MODE=UP;
IO_LOC "sys_clk" 45;
IO_PORT "sys_clk" PULL_MODE=UP;

三、modulesim

- 略

四、代码下载(需要先进行代码的编译)

-              - 

五、看到开发板开始呼吸就是完成了功能。

高云fpga.Tang Nano 4k(GW1NSR-4C)呼吸灯相关推荐

  1. Tang Nano FPGA(35元开发板).初探

    ​Lichee Tang Nano 基于高云小蜜蜂系列GW1N-1 FPGA的简约型开发板.该芯片搭载了1K LUT4的逻辑资源,1 PLL和4 Block RAM,开发板引出了所有I/O接口,适用于 ...

  2. RISC-V开发与应用学习系列——Tang Nano 9K FPGA开发板介绍

    Tang Nano 9K FPGA开发板介绍 简介 开发板资源.IDE及实例演示 简介 Tang Nano 9K 是基于高云半导体 GW1NR-9 FPGA芯片设计的精简型开发板.它搭载的HDMI连接 ...

  3. 高云FPGA系列教程(基于GW1NSR-4C TangNano 4K开发板)

    文章目录 @[TOC] 已完成 待完成 已完成 国产FPGA高云GW1NSR-4C,集成ARM Cortex-M3硬核 高云FPGA系列教程(1):FPGA和ARM开发环境搭建 高云FPGA系列教程( ...

  4. 高云FPGA系列教程(1):FPGA和ARM开发环境搭建

    文章目录 @[toc] 1. 获取安装包 2. 申请License 3. 安装高云FPGA开发环境 4. 安装高云MCU开发环境 5. 替换TangNano 4K专用下载软件 总结 本文是高云FPGA ...

  5. 高云FPGA系列教程(3):基本IP原语使用和仿真

    文章目录 @[toc] 1. GW1NSR-4C支持的IP 2. IP示例1:片上时钟OSC 3. IP示例2:锁相环PLLVR 4. IP示例3:分频器CLKDIV 5. IP核配置修改 6. Mo ...

  6. 基于FPGA的呼吸灯设计

    一:背景介绍: 网上类似的标题很多,有一些呼吸灯的设计是基于单片机的,还有一部分设计是基于FPGA的,我也一时手痒,将这两天自己重新写的verilog描述语句晒出来,免得压箱底放久了,出现发霉点. 为 ...

  7. 【正点原子FPGA连载】第十二章 呼吸灯实验 -摘自【正点原子】领航者ZYNQ之FPGA开发指南_V2.0

    1)实验平台:正点原子领航者ZYNQ开发板 2)平台购买地址:https://item.taobao.com/item.htm?&id=606160108761 3)全套实验源码+手册+视频下 ...

  8. 【正点原子FPGA连载】第十二章呼吸灯实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1

    1)实验平台:正点原子新起点V2开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=609758951113 2)全套实验源码+手册+视频下载地址:ht ...

  9. 实验一 简单io应用—流水灯控制示例程序_【正点原子FPGA连载】第十章呼吸灯实验--领航者ZYNQ之linux开发指南...

    1)实验平台:正点原子领航者ZYNQ开发板 2)平台购买地址:https://item.taobao.com/item.htm?&id=606160108761 3)全套实验源码+手册+视频下 ...

最新文章

  1. mysql replace语句
  2. 量子力学 一 基础6 厄尔米特算符的相容性
  3. 尼康d7200拍照_尼康D7500适合入门吗
  4. 飞畅科技-国内老牌工业以太网交换机品牌
  5. WPF:DataGrid可过滤、多语言
  6. firefox 53支持java_JavaSelenium 2.53在Firefox 47上不起作用
  7. 使用 JavaScript 下载文件
  8. 微信小程序上传图片失败总结
  9. MATLAB的变换器毕业设计,基于matlab的反激变换器分析与设计毕业设计doc.docx
  10. 个人空间岁末大回报活动12月25日获奖名单
  11. PECompact 2.79 Beta D by Sonny27
  12. xcode6-beta下载
  13. 付临门商服宝 v1.0.5
  14. 开机provision应用
  15. 微信后台 phxrpc (v0.8) 之 Timer(二)
  16. 电力系统的延时功率流 (CPF)的计算【 IEEE-14节点】(Matlab代码实现)
  17. Qt-绘制圆饼图等-转摘
  18. matlab中的小波变换,小波变换在matlab中的使用
  19. 如何使用yum工具从iso镜像文件上安装
  20. BSOJ4851:攻略 贪心+线段树

热门文章

  1. Kodu的下载与安装---Kodu少儿编程第二天
  2. 3D游戏之投影矩阵算法技术实现
  3. 两个可能常用到的几何知识(圆与椭圆的方程、求垂直向量)
  4. 基于matlab的颜色识别与提取_机器视觉综合实训有得
  5. 4、python开源——scrapy爬虫天气预报
  6. 给父母的礼物!一键让Android变身老人机
  7. 有趣的java代码_求一些有趣的java小程序?
  8. MATLAB房价,MATLAB实现波士顿房价预测使用BP神经网络
  9. Dell Inspiron 5520 笔记本盲刷BIOS
  10. 违停现场执法的人性化解决之道