1)实验平台:正点原子新起点V2开发板
2)平台购买地址:https://detail.tmall.com/item.htm?id=609758951113
2)全套实验源码+手册+视频下载地址:http://www.openedv.com/thread-300792-1-1.html
3)对正点原子FPGA感兴趣的同学可以加群讨论:994244016
4)关注正点原子公众号,获取最新资料更新

第十二章呼吸灯实验
呼吸灯最早由苹果公司发明并应用于笔记本睡眠提示上,其一经展出,立刻吸引众多科技厂商争相效仿,并广泛用于各种电子产品中,尤其是智能手机。呼吸灯其实是在微处理器的控制下,由暗渐亮、然后再由亮渐暗,模仿人呼吸方式的LED灯。
本章分为以下几个章节:

1212.1简介
12.2实验任务
12.3硬件设计
12.4程序设计
12.5下载验证

12.1简介
呼吸灯采用PWM的方式,在固定的频率下,通过调整占空比的方式来控制LED灯亮度的变化。PWM(Pulse Width Modulation),即脉冲宽度调制,它利用微处理器输出的PWM信号,实现对模拟电路控制的一种非常有效的技术,广泛应用于测量、通信、功率控制等领域。
在由计数器产生的固定周期的PWM信号下,如果其占空比为0,则LED灯不亮;如果其占空比为100%,则LED灯最亮。所以将占空比从0到100%,再从100%到0不断变化,就可以实现LED灯的“呼吸”效果。
PWM占空比调节示意图如下图所示:

图 12.1.1 呼吸灯PWM占空比示意图
由上图可知,LED高电平的时间由长渐渐变短,再由短渐渐变长,如果LED灯是高电平点亮,则LED灯会呈现出亮度由亮到暗,再由暗到亮的过程。
12.2实验任务
本节实验任务是使用新起点开发板上的LED,实现呼吸灯的效果,即由灭渐亮,然后再由亮渐灭。
12.3硬件设计
LED原理图与“流水灯实验”完全相同,请参考“流水灯实验”硬件设计部分。由于LED灯在前面相应的章节中已经给出它们的管脚列表,这里不再列出管脚分配。
12.4程序设计
本次实验的模块端口及结构框图如下图所示。

图 12.4.1 模块端口及信号连接图
周期信号计数器用于产生驱动LED的脉冲信号,本次实验的周期信号频率为1Khz,其占空比由后级逻辑在每个周期之后进行递增或递减,最后再对当前计数值和占空比计数值进行比较,以输出占空比可调的脉冲信号。
呼吸灯代码如下:

1   module breath_led(
2       input   sys_clk   ,  //时钟信号50Mhz
3       input   sys_rst_n ,  //复位信号
4
5       output  led          //LED
6   );
7
8   //reg define
9   reg  [15:0]  period_cnt ;   //周期计数器频率:1khz 周期:1ms  计数值:1ms/20ns=50000
10  reg  [15:0]  duty_cycle ;   //占空比数值
11  reg          inc_dec_flag ; //0 递增  1 递减
12
13  //*****************************************************
14  //**                  main code
15  //*****************************************************
16
17  //根据占空比和计数值之间的大小关系来输出LED
18  assign   led = (period_cnt >= duty_cycle) ?  1'b1  :  1'b0;
19
20  //周期计数器
21  always @(posedge sys_clk or negedge sys_rst_n) begin
22      if(!sys_rst_n)
23          period_cnt <= 16'd0;
24      else if(period_cnt == 16'd50000)
25          period_cnt <= 16'd0;
26      else
27          period_cnt <= period_cnt + 1'b1;
28  end
29
30  //在周期计数器的节拍下递增或递减占空比
31  always @(posedge sys_clk or negedge sys_rst_n) begin
32      if(!sys_rst_n) begin
33          duty_cycle   <= 16'd0;
34          inc_dec_flag <= 1'b0;
35      end
36      else begin
37          if(period_cnt == 16'd50000) begin    //计满1ms
38              if(inc_dec_flag == 1'b0) begin   //占空比递增状态
39                  if(duty_cycle == 16'd50000)  //如果占空比已递增至最大
40                      inc_dec_flag <= 1'b1;    //则占空比开始递减
41                  else                         //否则占空比以25为单位递增
42                      duty_cycle <= duty_cycle + 16'd25;
43              end
44              else begin                       //占空比递减状态
45                  if(duty_cycle == 16'd0)      //如果占空比已递减至0
46                      inc_dec_flag <= 1'b0;    //则占空比开始递增
47                  else                         //否则占空比以25为单位递减
48                      duty_cycle <= duty_cycle - 16'd25;
49              end
50          end
51      end
52  end
53
54  endmodule

第21-28行是1KHz周期信号的计数器,用于产生1KHz的LED驱动信号。第31-52行的always块为占空比设定模块,每次计数完了一个周期,就根据递增/递减标志来对占空比计数值(duty_cycle)进行递增/递减25个计数值,这个递增或者递减的数值大小可以用来控制呼吸灯的呼吸频率。
如果占空比计数值(duty_cycle)已经递增到了最大,则呼吸灯已经处于最亮的状态,接下来开始递减;反之,如果占空比计数至已经递减到了最小,即0,则呼吸灯处于熄灭的状态,接下来开始递增;如此循环往复,最终实现了流水灯的效果。
在代码的第18行通过组合逻辑把当前的周期计数值和占空比计数值进行比较,来判断LED的输出电平。在一个周期内,如果当前的周期计数值大于等于占空比计数值,则LED输出高电平,即点亮;如果当前的周期计数值小于占空比计数值,则LED输出低电平,即熄灭。
12.5下载验证
编译工程并生成比特流sof文件。将下载器一端连接电脑,另一端与开发板上的JTAG下载口连接,连接电源线,并打开开发板的电源开关,然后下载程序。
程序下载完成后,可以看到新起点开发板上的LED灯由暗慢慢变亮,再由亮慢慢变暗,即呈现出“呼吸”的效果,如下图所示:

图 12.5.1 开发板实验现象

【正点原子FPGA连载】第十二章呼吸灯实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1相关推荐

  1. 【正点原子FPGA连载】第十二章 呼吸灯实验 -摘自【正点原子】领航者ZYNQ之FPGA开发指南_V2.0

    1)实验平台:正点原子领航者ZYNQ开发板 2)平台购买地址:https://item.taobao.com/item.htm?&id=606160108761 3)全套实验源码+手册+视频下 ...

  2. 【正点原子MP157连载】 第十二章 呼吸灯实验-摘自【正点原子】【正点原子】STM32MP1嵌入式Linux驱动开发指南V1.7

    1)实验平台:正点原子STM32MP157开发板 2)购买链接:https://item.taobao.com/item.htm?&id=629270721801 3)全套实验源码+手册+视频 ...

  3. 【正点原子MP157连载】第十二章 按键输入实验-摘自【正点原子】STM32MP1 M4裸机CubeIDE开发指南

    1)实验平台:正点原子STM32MP157开发板 2)购买链接:https://item.taobao.com/item.htm?&id=629270721801 3)全套实验源码+手册+视频 ...

  4. stm32l0的停止模式怎么唤醒_探索者 STM32F407 开发板资料连载第二十二章 待机唤醒实验

    1)实验平台:alientek 阿波罗 STM32F767 开发板 2)摘自<STM32F7 开发指南(HAL 库版)>关注官方微信号公众号,获取更多资料:正点原子 第二十二章 待机唤醒实 ...

  5. 【正点原子FPGA连载】第二十三章 DDS信号发生器实验摘自【正点原子】DFZU2EG/4EV MPSoC 之FPGA开发指南V1.0

    1)实验平台:正点原子MPSoC开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=692450874670 3)全套实验源码+手册+视频下载地址: h ...

  6. 【正点原子MP157连载】第十七章 通用定时器实验-摘自【正点原子】STM32MP1 M4裸机CubeIDE开发指南

    1)实验平台:正点原子STM32MP157开发板 2)购买链接:https://item.taobao.com/item.htm?&id=629270721801 3)全套实验源码+手册+视频 ...

  7. 【正点原子STM32连载】第二十三章 OLED显示实验 摘自【正点原子】MiniPro STM32H750 开发指南_V1.1

    1)实验平台:正点原子MiniPro H750开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=677017430560 3)全套实验源码+手册+视频 ...

  8. 【正点原子STM32连载】第二十一章 通用定时器实验 摘自【正点原子】MiniPro STM32H750 开发指南_V1.1

    1)实验平台:正点原子MiniPro H750开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=677017430560 3)全套实验源码+手册+视频 ...

  9. 1709 ltsb 内存占用_「正点原子STM32Mini板资料连载」第三十二章 内存管理实验

    1)实验平台:正点原子STM32mini开发板 2)摘自<正点原子STM32 不完全手册(HAL 库版)>关注官方微信号公众号,获取更多资料:正点原子 第三十二章 内存管理实验 上一章,我 ...

最新文章

  1. Linux系统文件安全与权限
  2. 托马斯·弗里德曼:美政府应研究任正非的提议
  3. hbase 单机连接hadoop_随手搭hadoop+hbase(mac单机)
  4. 邻接表的构建、DFS、BFS搜索
  5. Python变量 - Python零基础入门教程
  6. 收藏 | Kaggle神器LightGBM最全解读!
  7. MySQL企业级主从复制
  8. 万元大奖邀您参与阿里云数加 MaxCompute最佳实践征文大赛
  9. mysql安装排错-解决1
  10. 一个简单的线程池设计方案
  11. IoC、DI、AOP
  12. java案例代码1-生成随机验证码
  13. libyuv的编译使用
  14. WebRtc与P2P
  15. 安全漏洞之host头攻击漏洞
  16. 安装Aras Innovator12 sp9全过程
  17. MATLAB泰勒展开lnx,lnx泰勒展开公式形式,lnx泰勒展开式怎么展开,sinx泰勒展开
  18. 为什么一个操作系统连个进程启动日志都没有
  19. Flowable流程设计器的使用
  20. mip-html自动跳转页面,MIP建站系统常见问题汇总

热门文章

  1. 升级主机后网站显示服务器过期,虚拟主机常见问题解答
  2. 虚拟盒子下装linux系统,eUnoBox(虚拟盒子) v3.14免费版
  3. Python把数据写进html的表格代码里,收件人显示表格
  4. 江波龙入选国家级专精特新“小巨人”企业
  5. 3个APP海外推广方式,不走寻常路
  6. ssRender引擎
  7. 基于SOME/IP的AP AUTOSAR实战步骤
  8. JAVA 入坑教程 | 章节九 详解java的装箱和拆箱
  9. SVN服务端的安装步骤
  10. 融合软泥怪 (优先队列)