Verilog HDL语言设计实现过程赋值+译码器
- 完成课本例题6.11、6.12,进行综合和仿真(功能仿真),查看综合和仿真结果,整理入实验报告。
6.11
module shiyan21(in,clk,out1,out2);
input clk,in;
output out1,out2;
reg out1,out2;
always @(posedge clk)
begin
out1<=in;
out2<=out1;
end
endmodule
`timescale 1ns/1ns
module test();
reg in,clk;
wire out1,out2;
shiyan21 U1(in,clk,out1,out2);
always #10 clk=~clk;
initial
begin clk =0;in=0;
#10 in=1;
#20 in=0;
#10 in=1;
#20 in=0;
#10 in=1;
#20 in=0;
#10 in=1;
#20 in=0;
#10 in=1;
#20 in=0;
#10 in=1;
#20 in=0;
#200 $finish;
end
endmodule
6.12
module shiyan21(in,clk,out1,out2);
input clk,in;
output out1,out2;
reg out1,out2;
always @(posedge clk)
begin
out1=in;
out2=out1;
end
endmodule
`timescale 1ns/1ns
module test();
reg in,clk;
wire out1,out2;
shiyan21 U1(in,clk,out1,out2);
always #10 clk=~clk;
initial
begin clk =0;in=0;
#10 in=1;
#20 in=0;
#10 in=1;
#20 in=0;
#10 in=1;
#20 in=0;
#10 in=1;
#20 in=0;
#10 in=1;
#20 in=0;
#10 in=1;
#20 in=0;
#200 $finish;
end
endmodule
- 用Verilog语言设计一个类似74138的译码器电路,进行综合和仿真(功能仿真),查看综合和仿真结果,整理入实验报告。
module shiyan22(in,out);
input[2:0] in;
output out;
reg [7:0] out;
always @(*)
begin
case (in)
3'b000: out=8'b11111110;
3'b001: out=8'b11111101;
3'b010: out=8'b11111011;
3'b011: out=8'b11110111;
3'b100: out=8'b11101111;
3'b101: out=8'b11011111;
3'b110: out=8'b10111111;
3'b111: out=8'b01111111;
default: ;
endcase
end
endmodule
`timescale 1ns/1ns
module test();
reg[2:0] in;
wire[7:0] out;
shiyan22 U1(in,out);
initial
begin
#10 in=3'b000;
#10 in=3'b001;
#10 in=3'b010;
#10 in=3'b011;
#10 in=3'b100;
#10 in=3'b101;
#10 in=3'b110;
#10 in=3'b111;
#200 $finish;
end
endmodule
Verilog HDL语言设计实现过程赋值+译码器相关推荐
- 模6计数器以及模10计数器(Verilog HDL语言设计)(Modelsim仿真与ISE综合)
目录 前言 模6计数器 Verilog HDL语言描述 测试文件 仿真电路图 RTL Schematic Technology Schematic 模10计数器 Verilog HDL语言描述 测试文 ...
- Verilog HDL语言设计计数器+加法器
完成课本例题4.12,进行综合和仿真(包括功能仿真和时序仿真),查看仿真结果,将Verilog代码和仿真波形图整理入实验报告. 功能文件: module shiyan1(out,reset,clk); ...
- Verilog HDL语言设计一个比较电路
设计一个比较电路,当输入的一位8421BCD码大于4时,输出为1,否则为0,进行功能仿真,查看仿真结果,将Verilog代码和仿真波形图整理入实验报告. 代码: module yanxu12(in,o ...
- Verilog HDL语言设计4个独立的非门
代码: module yanxu11(in,out); input wire[3:0] in; output reg[3:0] out; always @(in) begin out[0]=~in[0 ...
- (数电实验报告)用Verilog–HDL语言设计一个8线3线优先编码器
`module encoder8_3(S,I7,I6,I5,I4,I3,I2,I1,I0,Y2,Y1,Y0,YS,YEX); input S,I7,I6,I5,I4,I3,I2,I1,I0; outp ...
- 模24的8421BCD码计数器(Verilog HDL语言描述)(仿真与综合)
目录 前言 原理 Verilog HDL程序设计 测试代码 仿真波形图 ISE综合后 RTL Schematic Technology Schematic 前言 本博文用Verilog HDL语言描述 ...
- 级联模60计数器(Verilog HDL语言描述)(仿真与综合)
目录 前言 模60计数器 Verilog HDL语言描述 测试文件 仿真波形 RTL Schematic Technology Schematic 前言 看这篇文章前,推荐先看看模10计数器和模6计数 ...
- 译码器设计——Verilog HDL语言
译码器设计 任务描述 相关知识 3线-8线译码器的功能 always语句 事件时序控制 case语句 编程要求 源代码 任务描述 设计一个3线-8线译码器.运用Verilog HDL进行设计,完善译码 ...
- 显示译码器——Verilog HDL语言
显示译码器 任务描述 相关知识 逻辑原理 共阴数码管及其电路 编程要求 源代码 任务描述 根据所学的组合逻辑及数字电路的知识完成一个16进制7段数码显示译码器的设计,验证满足一个16进制7段数码显示译 ...
最新文章
- 销售易发布旗舰版移动CRM及PaaS平台 产品比肩Salesforce
- 14.PHP_PHP与XML技术
- iOS runtime实战应用:关联对象
- 浅析 Linux 初始化系统(系统服务管理和控制程序/Init System) -- systemd
- 【计算机网络复习 物理层】2.1.5 编码与调制
- 证券 计算机系统,证券商电脑网络系统
- 图解HTTP笔记(一)
- SQL Server中的表变量
- 《Algorithms》SortCompare 实现排序算法的比较
- 利用Diskgen找回分区破坏前的资料
- python生成html表格_如何使用Python生成html目录列表
- android报错:org.ksoap2.SoapFault cannot be cast to org.ksoap2.serialization.SoapObject
- 如何在非 React 项目中使用 Redux
- error: implicit declaration of function ‘VerifyFixClassname‘ is invalid in C99 [-Werror,-Wimplicit-f
- oracle服务器cpu 100,Oracle数据库服务器CPU一直100%怎么处理-sql优化方面
- 如何统计网页的浏览量?Gate
- Cisco Packet Tracer中配置单区域OSPF
- c++ opencv图像拼接
- 苹果历代产品中的8大亮点设计(上)
- AI智能抠图工具--头发丝都可见
热门文章
- 论文浅尝 - EMNLP2020 | 低资源跨语言实体链接中的设计挑战
- 论文浅尝 | 基于事理图谱的脚本事件预测
- 看完这篇Linux基本的操作就会了
- Android官方开发文档Training系列课程中文版:APP的内存管理
- Android官方开发文档Training系列课程中文版:管理Activity的生命周期之启动一个Activity
- 人工智能技术在智能制造中的典型应用场景与标准体系研究
- DVA框架统一处理所有页面的loading状态
- git Please move or remove them before you can merge. 错误解决方案
- C++学习——C++中的四个智能指针
- php api命名历史,PHP历史上的今天查询api源码