• 完成课本例题4.12进行综合和仿真(包括功能仿真和时序仿真),查看仿真结果,将Verilog代码和仿真波形图整理入实验报告。

功能文件:

module shiyan1(out,reset,clk);

input reset,clk;

output reg[3:0] out;

always @(posedge clk)

begin

if(reset)

out<=0;

else

out<=out+1;

end

endmodule

测试文件

`timescale 1ns/1ns

module test2();

reg clk,reset;

wire[3:0] out;

parameter DELY=100;

shiyan1 U1(out,reset,clk);

always #(DELY/2) clk=~clk;

initial

begin clk =0;reset=0;

#DELY reset=1;

#DELY reset=0;

#(DELY*20) $finish;

end

initial $monitor($time,,,"clk=%d reset=%d out=%d",clk,reset,out);

endmodule

  • 课后习题4.1,用Verilog设计一个8位加法器,进行功能仿真,查看综合和仿真结果,将Verilog代码和仿真波形图整理入实验报告。

功能代码:

module a(a,b,ci,sum,co);

input [7:0] a,b;

input ci;

output [7:0] sum;

output co;

reg  sum,co;

assign {co,sum}=a+b+ci;

Endmodule

测试代码:

`timescale 1ns/1ns

module test5();

reg[7:0] a,b;

reg ci;

wire[7:0] sum;

wire co;

integer i,j;

a U4(a,b,ci,sum,co);

always #10 ci=~ci;

initial begin  a=0;b=0;ci=0;

for(i=1;i<16;i=i+1)

#10 a=i;

end

initial

begin  for(j=1;j<16;j=j+1)

#10 b=j;

end

initial

begin

#160 $finish;

end

Endmodule

Verilog HDL语言设计计数器+加法器相关推荐

  1. 模6计数器以及模10计数器(Verilog HDL语言设计)(Modelsim仿真与ISE综合)

    目录 前言 模6计数器 Verilog HDL语言描述 测试文件 仿真电路图 RTL Schematic Technology Schematic 模10计数器 Verilog HDL语言描述 测试文 ...

  2. Verilog HDL语言设计实现过程赋值+译码器

    完成课本例题6.11.6.12,进行综合和仿真(功能仿真),查看综合和仿真结果,整理入实验报告. 6.11 module shiyan21(in,clk,out1,out2); input clk,i ...

  3. Verilog HDL语言设计一个比较电路

    设计一个比较电路,当输入的一位8421BCD码大于4时,输出为1,否则为0,进行功能仿真,查看仿真结果,将Verilog代码和仿真波形图整理入实验报告. 代码: module yanxu12(in,o ...

  4. Verilog HDL语言设计4个独立的非门

    代码: module yanxu11(in,out); input wire[3:0] in; output reg[3:0] out; always @(in) begin out[0]=~in[0 ...

  5. (数电实验报告)用Verilog–HDL语言设计一个8线3线优先编码器

    `module encoder8_3(S,I7,I6,I5,I4,I3,I2,I1,I0,Y2,Y1,Y0,YS,YEX); input S,I7,I6,I5,I4,I3,I2,I1,I0; outp ...

  6. 模24的8421BCD码计数器(Verilog HDL语言描述)(仿真与综合)

    目录 前言 原理 Verilog HDL程序设计 测试代码 仿真波形图 ISE综合后 RTL Schematic Technology Schematic 前言 本博文用Verilog HDL语言描述 ...

  7. 级联模60计数器(Verilog HDL语言描述)(仿真与综合)

    目录 前言 模60计数器 Verilog HDL语言描述 测试文件 仿真波形 RTL Schematic Technology Schematic 前言 看这篇文章前,推荐先看看模10计数器和模6计数 ...

  8. spwm控制算法c语言实现,采用Verilog HDL语言与DDS技术实现SPWM控制算法的FPGA设计

    其部分程序代码如下: case(state1) A: //初始化状态 begin ADDRES1<=addr1; //时分复用第一路地址 READ_EN<=1′b1; //读使能开 NEX ...

  9. 有限状态机设计实例之空调控制器(Verilog HDL语言描述)(仿真与综合)(附用Edraw(亿图)画状态转移图)

    目录 前言 空调控制器 简介 状态转移图如下: Verilog HDL语言描述 测试文件 仿真图 ISE综合 RTL Schematic Technology Schematic 前言 关于工具的使用 ...

最新文章

  1. 国内自动驾驶战局如何?我对比了下华为大疆特斯拉百度等公司
  2. STM32 基础系列教程 40 - Lwip_mqtt
  3. Spring-AOP @AspectJ进阶之绑定代理对象
  4. MFC 图片部分透明
  5. uLua Unity工作机制
  6. 搭建自动安装的linux,RedHat7搭建无人值守自动安装Linux操作系统(PXE+Kickstart)
  7. mysql 查询所有鎖_mysql查询锁
  8. 2000坐标系转经纬度工具_【Leaflet开发】L.CRS + 搞定Leaflet多坐标系拓展
  9. C语言中指针数组和数组指针
  10. c语言case后面可以接表达式,c++ switch语句的使用(case 后面可以跟N个语句)
  11. 无可用源 没有为任何调用堆栈加载任何符号_面试官问我JVM类加载,我笑了
  12. 2022软工K班个人编程任务
  13. 统计素数并求和python_C语言实现的统计素数并求和代码分享
  14. xgp游戏列表_PC版XGP正式公布,畅玩上百款游戏每月只需10美元
  15. Phalcon框架的访问控制列表 ACL(Access Control Lists ACL)
  16. MD5的加密和解密算法
  17. android studio distributionurl是干嘛的,不懂就学系列(一):gradle配置本地distributionUrl...
  18. L5W3作业2 关键字语音识别
  19. CodeVS4416 FFF 团卧底的后宫
  20. 中国城市资本流动问题探索(Python)

热门文章

  1. 用python控制钉钉软件_Python—实现钉钉后台开发
  2. 全栈深度学习第2期: 开发套件与工具篇
  3. BAT研发Java面试36题总结:Spring+Redis+Docker+Dubbo
  4. 阿里P8架构师谈:分布式、集群、负载均衡、分布式数据一致性的区别与关联
  5. 漆桂林 | 人工智能的浪潮中,知识图谱何去何从?
  6. tensorflow--GPU
  7. 系统设计:github上学习如何设计大型系统的项目
  8. 【LeetCode】3月17日打卡-Day2
  9. 基于句式元学习的Twitter分类
  10. 解决安装IIS时提示找不到zClientm.exe文件的问题