`module encoder8_3(S,I7,I6,I5,I4,I3,I2,I1,I0,Y2,Y1,Y0,YS,YEX);

input S,I7,I6,I5,I4,I3,I2,I1,I0;

output Y2,Y1,Y0,YS,YEX;

reg Y2,Y1,Y0,YS,YEX;

always @(S,I7,I6,I5,I4,I3,I2,I1,I0)

begin if (S)

begin

Y2=1’b1;Y1=1’b1;Y0=1’b1;YS=1’b1;YEX=1’b1;

end

else

if(I7&&I6&&I5&&I4&&I3&&I2&&I1&&I0)
begin

Y2=1’b1;Y1=1’b1;Y0=1’b1;YS=1’b1;YEX=1’b1;

end

else if(!I7)

begin

Y2=1’b1;Y1=1’b1;Y0=1’b1;YS=1’b1;YEX=1’b0;

end

else if(!I6)

begin

Y2=1’b1;Y1=1’b1;Y0=1’b0;YS=1’b1;YEX=1’b0;

end

else if(!I5)
begin

Y2=1’b1;Y1=1’b0;Y0=1’b1;YS=1’b1;YEX=1’b0;

end

else if(!I4)

begin

Y2=1’b1;Y1=1’b0;Y0=1’b0;YS=1’b1;YEX=1’b0;

end

else if(!I3)

begin

Y2=1’b0;Y1=1’b1;Y0=1’b1;YS=1’b1;YEX=1’b0;

end

else if(!I2)

begin

Y2=1’b0;Y1=1’b1;Y0=1’b0;YS=1’b1;YEX=1’b0;

end

else if(!I1)

begin

Y2=1’b0;Y1=1’b0;Y0=1’b1;YS=1’b1;YEX=1’b0;

end

else if(!I0)

begin

Y2=1’b0;Y1=1’b0;Y0=1’b0;YS=1’b1;YEX=1’b0;

end

else

begin Y2=1’b0;Y1=1’b0;Y0=1’b0;YS=1’b0;YEX=1’b0;

end

end

endmodule`

(数电实验报告)用Verilog–HDL语言设计一个8线3线优先编码器相关推荐

  1. Verilog HDL语言设计一个比较电路

    设计一个比较电路,当输入的一位8421BCD码大于4时,输出为1,否则为0,进行功能仿真,查看仿真结果,将Verilog代码和仿真波形图整理入实验报告. 代码: module yanxu12(in,o ...

  2. 【数电实验7】Verilog—外星萤火虫

    [2022.05西南交大数电实验] [本代码及波形已通过老师验收.仅供参考.] [参考博客:[数电实验]外星萤火虫设计_难凉oh的博客-CSDN博客] [建议:有些口语化的注释看完删掉比较好哈,怕老师 ...

  3. c语言离散卷积编程,数电实验一 离散卷积的C语言编程.ppt

    数电实验一 离散卷积的C语言编程.ppt 实验一 离散卷积的C语言编程实验,DSP实验室,实验性质,综合设计性实验,实验目的,1 了解和认识常用的各种信号: 2 掌握卷积的定义和计算方法: 3 掌握在 ...

  4. 数电实验报告实验一_大二下学期的两三事之数电实验amp;课设

    数电实验&课设 叮 写在前面 这学期的数电实验老师是陈W老师.上学期的模电,选过一次他的课,线下教学,老师讲课游刃自如,点到为止,但又醍醐灌顶:这学期线上教学,可能是软件运用不熟练,他多少有些 ...

  5. 数电实验(一)利用与非门设计四舍五入判别电路

    数电实验(一)利用与非门设计四舍五入判别电路 要求: 1.输入为8421BCD码,接四个逻辑电平开关,同时接数码管. 2.输出和LED相连. 一.写出逻辑函数: F(A,B,C,D)=∑m(5,6,7 ...

  6. (135)Verilog HDL:设计一个加法器之Adder100i

    (135)Verilog HDL:设计一个加法器之Adder100i 1.1 目录 1)目录 2)FPGA简介 3)Verilog HDL简介 4)VVerilog HDL:设计一个加法器之Adder ...

  7. (126)Verilog HDL:设计一个复用器之Always case

    (126)Verilog HDL:设计一个复用器之Always case 1.1 目录 1)目录 2)FPGA简介 3)Verilog HDL简介 4)Verilog HDL:设计一个复用器之Alwa ...

  8. Verilog HDL语言设计实现过程赋值+译码器

    完成课本例题6.11.6.12,进行综合和仿真(功能仿真),查看综合和仿真结果,整理入实验报告. 6.11 module shiyan21(in,clk,out1,out2); input clk,i ...

  9. 模6计数器以及模10计数器(Verilog HDL语言设计)(Modelsim仿真与ISE综合)

    目录 前言 模6计数器 Verilog HDL语言描述 测试文件 仿真电路图 RTL Schematic Technology Schematic 模10计数器 Verilog HDL语言描述 测试文 ...

最新文章

  1. java-2018-01-17计划
  2. hibernate教程--关联关系的映射
  3. 服务器系统扩展c盘,云服务器c盘扩展
  4. Kibana源码分析--Hapijs路由设置理解笔记
  5. Android 第十五课 如何使用LitePal从SQLite数据库中删除数据(十四课用来保留讲解如何向SQLite数据库中存入数据)
  6. C++Vector使用方法
  7. 集成Dapr的 Azure 容器应用
  8. oracle11查看dblink,配置oracle11g通过dblink+透明网关访问GBase
  9. Ant编译SWF、SWC例子脚本
  10. 【基础】二分算法学习笔记
  11. IIS的变迁(IIS3, IIS4, IIS5, IIS6, IIS7)
  12. Ext.util.Format.date与Ext.Date.format区别, 转换时间戳
  13. linux vnc服务器6,Linux(RHEL6\CENTOS6\OLE6) 下VNC-SERVER安装与配置
  14. C语言:求X的Y次方
  15. mybatis + spring + PostgreSQL使用中的问题一例
  16. Blast中文手册(5)
  17. 推断车祸事件论文matlab,预测模型论文,关于基于优化GM(1,1)模型的水上交通事故预测相关参考文献资料-免费论文范文...
  18. 小程序通过摄像头拍摄个人身份证
  19. ❤Linux文件、目录与磁盘格式总结❤
  20. Weakly Superised video anomaly detection弱监督视频异常检测

热门文章

  1. Android弹性滑动在自定义View中的高级应用
  2. 笔记本计算机没有声音是怎么回事,笔记本电脑声音没了怎么恢复_笔记本怎么没有声音-win7之家...
  3. 股指期货手续费每日增加吗(手续费计算公式)
  4. 著名ai换脸网站_AI如何从著名的死去艺术家那里删除新音乐
  5. 适用场景:All kinds of GCs
  6. java编写扑克牌程序
  7. Android Studio分析工具ApkAnalyzer基本使用
  8. 洛谷OJ:P5960 【模板】差分约束算法
  9. 如何卸载360安全客户端
  10. iframe标签(页面嵌套)