显示译码器

  • 任务描述
  • 相关知识
    • 逻辑原理
    • 共阴数码管及其电路
  • 编程要求
  • 源代码

任务描述

根据所学的组合逻辑及数字电路的知识完成一个16进制7段数码显示译码器的设计,验证满足一个16进制7段数码显示译码器的规则,根据逻辑真值表和逻辑表达式完成表决功能。熟悉Quartus II的Verilog HDL文本设计流程,掌握组合逻辑电路的设计仿真和硬件测试的方法。最后完善一个16进制7段数码显示译码器电路的功能描述风格Verilog HDL 代码。

相关知识

逻辑原理

7 段数码是纯组合电路,通常的小规模专用 IC,如 74 或 4000 系列的器件只能作十进制 BCD 码译码,然而数字系统中的数据处理和运算都是 2 进制的,所以输出表达都是 16 进制的,为了满足 16 进制数的译码显示。
7 段译码器的输出信号 LED7S 的 7 位分别接如下图所示数码管的 7 个段,高位在左,低位在右。例如当 LED7S输出为“1101101”时,数码管的 7 个段: g,f,e,d,c,b,a 分别接 1,1,0,1,1,0,1;接有高电平的段发亮,于是数码管显示“5”。注意,这里没有考虑表示小数点的发光管。
7 段数码显示译码器设计采用 case 语句对数码管的七个段分别进行赋值 0 或 1,实现数字的显示。

共阴数码管及其电路

编程要求

为了完成判断学生成绩等级的任务,完善编程模块设计代码,编写的程序要能根据不同的输入能够得到满足7段数码显示译码器显示输出。

源代码

测试平台:EduCoder

module decl7s_test(a,led7s);input [3:0] a;output [6:0] led7s;reg [6:0] led7s;always @(a)
// 请在下面添加代码,完成7段数码显示译码器显示
/* Begin */begin case(a)4'b0000:led7s = 7'b0111111;4'b0001:led7s = 7'b0000110;4'b0010:led7s = 7'b1011011;4'b0011:led7s = 7'b1001111;4'b0100:led7s = 7'b1100110;4'b0101:led7s = 7'b1101101;4'b0110:led7s = 7'b1111101;4'b0111:led7s = 7'b0000111;4'b1000:led7s = 7'b1111111;4'b1001:led7s = 7'b1101111;4'b1010:led7s = 7'b1110111;4'b1011:led7s = 7'b1111100;4'b1100:led7s = 7'b0111001;4'b1101:led7s = 7'b1011110;4'b1110:led7s = 7'b1111001;4'b1111:led7s = 7'b1110001;default: led7s = 7'b0000000;endcaseend
/* End */
endmodule    

觉得有帮助的可以点个赞再走哦!!

显示译码器——Verilog HDL语言相关推荐

  1. Verilog HDL 语言基础语法

    模块的结构 (1)Verilog的基本设计单元是"模块"(block). (2)一个模块由两部分组成,一部分描述接口,另一部分描述逻辑功能. (3)每个Verilog程序包括4个主 ...

  2. 有限状态机设计实例之空调控制器(Verilog HDL语言描述)(仿真与综合)(附用Edraw(亿图)画状态转移图)

    目录 前言 空调控制器 简介 状态转移图如下: Verilog HDL语言描述 测试文件 仿真图 ISE综合 RTL Schematic Technology Schematic 前言 关于工具的使用 ...

  3. 模24的8421BCD码计数器(Verilog HDL语言描述)(仿真与综合)

    目录 前言 原理 Verilog HDL程序设计 测试代码 仿真波形图 ISE综合后 RTL Schematic Technology Schematic 前言 本博文用Verilog HDL语言描述 ...

  4. 级联模60计数器(Verilog HDL语言描述)(仿真与综合)

    目录 前言 模60计数器 Verilog HDL语言描述 测试文件 仿真波形 RTL Schematic Technology Schematic 前言 看这篇文章前,推荐先看看模10计数器和模6计数 ...

  5. 模6计数器以及模10计数器(Verilog HDL语言设计)(Modelsim仿真与ISE综合)

    目录 前言 模6计数器 Verilog HDL语言描述 测试文件 仿真电路图 RTL Schematic Technology Schematic 模10计数器 Verilog HDL语言描述 测试文 ...

  6. 通过仿真和综合认识T触发器(Verilog HDL语言描述T触发器)

    这个系列的博文已经写过了两篇,分别是通过仿真和综合认识D触发器(Verilog HDL语言描述D触发器)和通过仿真和综合认识JK触发器(Verilog HDL语言描述JK触发器),分析的方法是完全并行 ...

  7. 通过仿真和综合认识JK触发器(Verilog HDL语言描述JK触发器)

    上篇博文写了用仿真和综合来认识D触发器(通过仿真和综合认识D触发器(Verilog HDL语言描述D触发器)),这篇博文采用完全并行的方式来认识JK触发器. 让我们迅速进入正题吧. J-K触发器的Ve ...

  8. Verilog HDL语言要素

    Verilog HDL语言要素 Verilog HDL的基本要素,包括标识符.空白符.注释.数值和字符串.数据类型及运算符等. 标识符 Verilog HDL中的标识符(identifier)可以是任 ...

  9. 数字时钟计数器(Verilog HDL语言描述)(仿真和综合)

    目录 前言 主题 Verilog HDL设计代码 测试代码 仿真波形 ISE中综合 RTL Schematic Technology Schematic 前言 数字时钟计数器和我的前一篇博文:级联模6 ...

最新文章

  1. python用pip安装numpy mac_Mac下python安装numpy,pandas,matplotlib
  2. Jsoup遍历ul li下的链接信息实例
  3. react 组件添加样式_如何通过4个简单的步骤将CSS模块样式表添加到React组件
  4. jest java_使用JestClient操作ElasticSearch的简单demo
  5. .NET(C#、VB)APP开发——Smobiler平台控件介绍:AliPay组件
  6. 随机点名和抽题软件(可支持ppt扩展模式使用)
  7. QT5.1标准对话框按钮显示英文问题解决办法
  8. 最终幻想7php攻略,最终幻想7图文攻略 PS版FF7全剧情任务攻略(CD1)(6)
  9. 坐标转换程序:(1)四参数和七参数的计算
  10. CVE-2021-30461 - VoIPMonitor未授权远程代码执行漏洞
  11. 我所理解的羽毛球运动(没有教练业余羽毛球如何让自己提高?)
  12. Java 17的这些新特性,Java迈入新时代
  13. java设置pdf不可编辑_禁止编辑,但允许在Java iText / PDF中进行页面提取
  14. 输入文本的html,输入文本的步骤主要包括
  15. DPU — 完全可编程网络
  16. java中获取日期 日期和字符串互转
  17. 第十二章作业(1.水果店
  18. Mac OS X常用软件介绍
  19. 学渣!面对疾风吧!哈撒给
  20. 《全程软件测试》读书心得

热门文章

  1. 带双参数的双极S型函数
  2. 《Openwrt开发》AR9331路由器实现视频监控
  3. 奥运伙伴2008年活动
  4. 12月30日goCom社区OSGi Topic大纲和PPT
  5. 蓝牙芯片排行_7月TWS硅麦出货量排行榜出炉
  6. transporter上传卡正在交付_Xcode11使用Transporter上传AppStore时卡住解决方式,亲测可用...
  7. 子网掩码、IP地址数、掩码长度对照表
  8. 根据ACR/EULAR 2010 标准定义RA放射学侵蚀病变
  9. 厦门大学“网宿杯“17届程序设计竞赛决赛(同步赛) #题解 #题目都超有趣呀
  10. EXCEL VBA基础:通过创建模块完成简单SUB过程