加法器是算术运算的一种,在计算机和一些处理器中被运用于算术逻辑单元ALU中或者处理器的其他部分如计算地址,加减操作等类似操作。今天。我们来重温下数字电路中的加法器。

一、半加器

半加器用于计算2个单比特二进制数a与b的和,输出结果sum(s)和进位carry(c)。在多比特数的计算中,进位c将作为下一相邻比特的加法运算中。单个半加器的计算结果是2c+s。其真值表、逻辑表达式、verilog描述和电路图分别如下所示。

真值表

逻辑表达式

Verilog描述

电路图

二、全加器

全加器不同于半加器的地方是,全加器带有进位cin。输入为a,b,cin, 输出为sum(s)和carry*(cout),均是单比特信号。s为a,b,cin三个单比特数的和,cout为a,b,cin三个数超过2后的进位。真值表、逻辑表达式、verilog描述和电路图分别如下所示。

真值表

逻辑表达式

Verilog描述

电路图

表示符号

三、行波进位加法器

N-bit加法器可以根据1-bit全加器组合而成。每个全加器的输出进位cout作为下一个全加器的输入进位cin,这种加法器称为行波进位加法器(Ripple-carry adder,简称RCA),如一个16比特加法器的结构如下图所示,其中A,B为16比特的加数,S为A+B的和,c16为该加法器的输出:

由上图可以看出得到进位c16的结果依赖于c15,c14,c13,…,c2,c1,c0,对于32-bit,64-bit,128-bit等加法器,进位链将显得更加长。所以,行波进位加法器设计简单,只需要级联全加器即可,但它的缺点在于超长的进位链,限制了加法器的性能。

Verilog描述

半加器,全加器和行波进位加法器,源码公众号回复002。

更多阅读,关注“纸上谈芯”,不定期更新,共同学习:

四位行波进位加法器_【HDL系列】半加器、全加器和行波进位加法器原理与设计...相关推荐

  1. 两个运放制作加法器_运放基础第10讲,加法器、减法器、积分器、微分器、仪表放大器...

    运放基础第10讲,加法器.减法器.积分器.微分器.仪表放大器课程介绍 <运放第2部,运放电路设计实战基础视频> 课程介绍:<运放电路设计基础视频教程>的第一部分内容有三分之一到 ...

  2. 四位行波进位加法器_【HDL系列】硬件加法器原理与设计小结

    硬件加法器种类繁多,对于不同的设计,加法器的需求也不一样.在前端设计中,使用符号"+"便可轻而易举地实现加法器.只是在特殊的情况下,指定选择加法器类型,或许可以用到.其他情况,可以 ...

  3. 四位行波进位加法器_【HDL系列】Sklansky加法器原理与设计

    Sklansky加法器是另一种并行高速的树形加法器,由Sklansky于1959年发表,该加法器对比特位进位层级分组,根据对不同比特组所有可能的进位计算所有可选的和与进位,所以也叫Conditiona ...

  4. 四位行波进位加法器_【HDL系列】超前进位加法器原理与设计

    上期介绍了半加器.全加器以及行波进位加法器(RCA),本文介绍超前进位加法器(Lookahead Carry Adder,简称LCA).在介绍超前进位加法器前,我们先来分析下行波进位加法器的关键路径. ...

  5. 四位行波进位加法器_【HDL系列】进位选择加法器原理与设计

    前期已介绍了行波进位加法器(Ripple Carry Adder, RCA)依赖于低位进位,所以具有超长的进位链和关键路径.对于RCA的改进中,进位选择加法器(Carry Select Adder)是 ...

  6. 四位行波进位加法器_【HDL系列】进位旁边加法器原理与设计

    一.进位旁边加法器 进位旁路加法器(Carry Skip Adder,CSA),也称Carry Bypass Adder.需要注意的是,CSA也是另外一种加法器--进位保存加法器(Carry Save ...

  7. 【HDL系列】半加器、全加器和行波进位加法器原理与设计

    目录 一.半加器 二.全加器 三.行波进位加法器 加法器是算术运算的一种,在计算机和一些处理器中被运用于算术逻辑单元ALU中或者处理器的其他部分如计算地址,加减操作等类似操作.今天.我们来重温下数字电 ...

  8. 四位行波进位加法器_超前进位加法器amp;行波进位加法器

    超前进位加法器&行波进位加法器 八位超前进位加法器 原理 设计文件 综合电路 测试文件 仿真波形 八位行波进位加法器 原理 设计文件 测试文件 仿真波形 总结 八位超前进位加法器 原理 有学弟 ...

  9. 四位行波进位加法器_加法器学习记录

    半加器 module 全加器 module 行波进位加法器 十分简单,直接串联n个全加器即可得到n位行波进位加法器,但字长较大时速度太慢 module 超前进位加法器 下面这篇文章讲清楚了原理 如何用 ...

最新文章

  1. Java,Hello world 欢迎进入Java世界
  2. POJ1741:Tree——题解+树分治简要讲解
  3. The Longest Increasing Subsequence (LIS)
  4. js修改html不成功,为什么这段代码中用js修改css样式中的display属性却不成功?
  5. codeforces 938D Buy a Ticket 有初值的Dijkstra、有趣的题目
  6. eclipse报错Project facet Cloud Foundry Standalone Application version 1.0 is not supported.
  7. 洛谷P4482 [BJWC2018]Border 的四种求法 字符串,SAM,线段树合并,线段树,树链剖分,DSU on Tree...
  8. 《HTML 5与CSS 3 权威指南(第3版·上册)》——1.2 HTML 5深受欢迎的理由
  9. 《设计心理学 1 日常的设计》 唐纳德·A·诺曼 pdf下载
  10. 数据库设计的阶段任务
  11. Vue报错:Invalid prop: type check failed for prop .... Expected String with value 1, got Number 1
  12. Hadoop数据迁移工具DistCp
  13. 花飞花落花飘谢,红绡香断有谁怜!
  14. Irrlicht Engine 相关信息——一些常见问题和解答
  15. Uboot SPL的Boot模式选择(从MMC切换到SPI启动)
  16. 平面设计中的网格系统pdf_实例讲解:平面设计中的网格系统,怎么用?
  17. 2020春季学期哈工大软件构造学习心得三
  18. ERP中配额生单的简单了解
  19. 【RAP】CAS PIA 快速浏览入口地址
  20. 混合开发之仿微信朋友圈

热门文章

  1. python个人bug修炼手册
  2. 养老院管理系统(Java+Web+MySQL)
  3. 小.心情5(21天减肥法)
  4. 大众汽车平台PQ、MBQ简介
  5. 医药行业,正在抛弃广告?
  6. CSDN的Blog怎么了?
  7. pageoffice 骑缝章_用 pageoffice 实现 pdf 文件签字盖章
  8. android下雨动画效果,Android 自定义View(二) 下雨效果
  9. 嵌入式 Linux 开发简介
  10. [渝粤教育] 中国地质大学 机械原理 复习题