前期已介绍了行波进位加法器(Ripple Carry Adder, RCA)依赖于低位进位,所以具有超长的进位链和关键路径。对于RCA的改进中,进位选择加法器(Carry Select Adder)是比较特别的一种,鉴于有太多的加法器缩写是CSA,此处使用全称。

一、进位选择加法器

进位选择加法器由2个行波进位加法器和1个选择器构成,其中一个RCA加法器假定进位进位为0,另外一个RCA加法器假定进位为1,其结构如下:

4比特进位选择加法器基础块结构

由4个蓝色全加器组成的RCA,假定进位输入c0=0;由4个绿色全加器组成的RCA假定进位输入c0=1。如果来自低级的进位Cin为0,则选择蓝色RCA的进位c4作为该加法器的进位输出;如果来自低级的进位Cin为1,则选择绿色RCA的进位c4作为该加法器的进位输出。同时Cin作为选择器选择信号,控制S3~S0的输出来自于蓝色RCA还是绿色RCA。

如下图16比特进位选择加法器,以4比特进位选择加法器为结构级联,每一级的进位可以同时经过4个全加器延迟同时生成,而选择信号在经过最低位的4比特RCA后生效,经过三个数据选择器的延迟,c16就会生成。所以,相比于同等16比特的行波进位加法器,进位选择加法器极大地提高了速度,是面积换取速度设计的典型代表。

16比特进位选择加法器

其关键路径见下图红色描绘路径:

进位选择加法器总结:

  1. 优势:对于更大位宽加法器高位进位不取决于进位传播,速度更快。但正确的输出必须等待正确的进位选择信号输出。
  2. 缺点:电路面积花费巨大,对于N比特加法器,需要几乎比RCA翻倍的全加器个数和许多多余的数据选择器。

另外对于N比特进位选择加法器构成的基础块,其大小可以相同,也可以不同,即其中的RCA全加器个数可以不同。

由进位选择加法器组成的加法器器又称为Conditional Sum Adder。

二、Verilog设计

基于4比特RCA模块,加入数据选择器,构成基础4比特进位选择加法器,由4比特进位选择加法器级联4级搭建成为16比特进位选择加法器,第一级进位延迟为4个全加器和1个数据选择器。

16比特进位选择加法器
16比特进位选择加法器网表

欢迎批评指正,更多阅读,关注“纸上谈芯”,不定期更新,共同学习:

四位行波进位加法器_【HDL系列】进位选择加法器原理与设计相关推荐

  1. 四位行波进位加法器_【HDL系列】硬件加法器原理与设计小结

    硬件加法器种类繁多,对于不同的设计,加法器的需求也不一样.在前端设计中,使用符号"+"便可轻而易举地实现加法器.只是在特殊的情况下,指定选择加法器类型,或许可以用到.其他情况,可以 ...

  2. 四位行波进位加法器_【HDL系列】Sklansky加法器原理与设计

    Sklansky加法器是另一种并行高速的树形加法器,由Sklansky于1959年发表,该加法器对比特位进位层级分组,根据对不同比特组所有可能的进位计算所有可选的和与进位,所以也叫Conditiona ...

  3. verilog加法器_【HDL系列】Kogge-Stone加法器原理与设计

    Kogge-Stone加法器是利用Peter M. Kogge和Harold S.Stone于1972年提出的一种并行算法生成的一种树形加法器. 一.Kogge-Stone并行算法 Kogge和Sto ...

  4. 行波iq调制器_低功率IQ调制器的基带设计实例—电路精选(1)

    标签:基带(41)调制器(16)滤波器(821) 调制参数 在本设计实例中,系统规格指标如下: 符号率 = 30 ksps 数字滤波器 = 0.35 下一步是确定基带滤波器要求: 总的信号 BW = ...

  5. 行波iq调制器_矢量信号源中IQ调制器的设计与实现

    龙源期刊网 http://www.qikan.com.cn 矢量信号源中 IQ 调制器的设计与实现 作者:饶金玲 聂伟 来源:<现代电子技术> 2014 年第 21 期 摘 要: 实现了一 ...

  6. python 微服务架构实战_《分布式服务架构:原理、设计与实战》第一章分布式微服务架构设计原理...

    1.从传统单体架构到服务化架构 1.1 JEE架构 JEE将企业级软件架构分为三个层级 : Web 层.业务逻辑层和数据存取层.对应的职能团队,主要包括:用户 交互 UI 团队.后台业务逻辑处理团 队 ...

  7. 四位行波进位加法器_【HDL系列】超前进位加法器原理与设计

    上期介绍了半加器.全加器以及行波进位加法器(RCA),本文介绍超前进位加法器(Lookahead Carry Adder,简称LCA).在介绍超前进位加法器前,我们先来分析下行波进位加法器的关键路径. ...

  8. 四位行波进位加法器_【HDL系列】进位旁边加法器原理与设计

    一.进位旁边加法器 进位旁路加法器(Carry Skip Adder,CSA),也称Carry Bypass Adder.需要注意的是,CSA也是另外一种加法器--进位保存加法器(Carry Save ...

  9. 四位行波进位加法器_超前进位加法器amp;行波进位加法器

    超前进位加法器&行波进位加法器 八位超前进位加法器 原理 设计文件 综合电路 测试文件 仿真波形 八位行波进位加法器 原理 设计文件 测试文件 仿真波形 总结 八位超前进位加法器 原理 有学弟 ...

最新文章

  1. win8计算机上工具选项在哪,Win8.1在开始菜单中找不到“便笺”工具如何恢复
  2. Alpha版使用说明
  3. 京东数科郑宇获评“数据挖掘领域”全球最具影响力科学家
  4. elasticsearch备份与恢复4_使用ES-Hadoop将ES中的索引数据写入HDFS中
  5. c语言头文件格式图片_阿波罗 STM32F767 开发板资料连载第四十九章 图片显示实验...
  6. 语音自动识别文字软件
  7. ubuntu16.04 安装Opencv 3.1.0 import cv2 报错ImportError: No module named hdf5
  8. 考研复习(8)-图的基本操作
  9. java继承小程序_Java小程序---接口中抽象方法的实现(解决了JAVA语言不能多继承的问题)...
  10. 容斥原理+简单博弈论(找个时间补充一下sg,希望我记得)
  11. 信道估计之MMSE算法
  12. 004-行为型-02-模板方法模式(Template Method)
  13. Android TextView更换字体
  14. 安全攻击溯源思路及案例
  15. 运城学院计算机应用技术,运城学院学子在中国大学生计算机设计大赛中取得历史最好成绩...
  16. 在dropdown item中设置退出登录
  17. 怎么调大计算机浏览器内字体,浏览器字体大小怎么设置,教你浏览器字体大小怎么设置-win7旗舰版...
  18. 她来了!她来了!她带着更新走来了!【8月16日】
  19. linux系统下监控录像软件,Linux系统信息监控软件system-monitor
  20. CMMI中QA是如何检查过程和产品 保证软件开发质量?

热门文章

  1. 淘宝店铺商品发布API(新)接口,店铺上传接口代码对接教程
  2. Adobe Flash助手推荐广告关闭
  3. 以QQ音乐为例子 解析公共接口的json数据
  4. 一键换肤丨酷雷曼VR全景系统皮肤高燃登场
  5. 信号槽传递非Qt库类型参数时,出现QObject::connect: Cannot queue arguments of type 'QUuid'(Make sure 'string' is regi
  6. 计算机毕业设计(附源码)python智能仓储进出货管理系统
  7. 减肥相当于整容,网友调整饮食和作息后,一个月消脂十二斤
  8. Linux安装telnet软件包,Linux下安装telnet
  9. Ubuntu安装qt4.8
  10. 企业律师事务官方网站源码