开发流程

一:Add Sources(二选一多路器代码见下)(Add or creat design sources)

module mux2(a,b,sel,out
);input a;input b;input sel;output out;//这是一个二选一多路器assign out=(sel==1)?a:b;endmodule

二:RTL ANALYSIS(分析综合)

三:Add Sources(Add or creat simulation sources 添加仿真文件)

       注:文件名称以tb(test bench)结尾

`timescale  1ns/1nsmodule mux2_tb();reg  s_a;reg  s_b;reg  sel;wire out;mux2 mux2(.a(s_a),.b(s_b),.sel(sel),.out(out));initial begins_a=0;s_b=0;sel=0;#200;s_a=0;s_b=0;sel=1;#200;s_a=0;s_b=1;sel=0;#200;s_a=0;s_b=1;sel=1;#200;s_a=1;s_b=0;sel=0;#200;s_a=1;s_b=0;sel=1;#200;s_a=1;s_b=1;sel=0;#200;s_a=1;s_b=1;sel=1;#200;endendmodule

四:Run  Behavioral  Simulation(功能仿真)

五:Run  Implementatiom

六:Run Post-IMPLEMENTATION  Simulation(时序仿真)

七:Open Implemented Design-Layout-I/O planning(分配I/O引脚,结束Ctrl+S保存)

八:Generate Bitstream

九:Open Hardware Manager

十:Program Device

Vivado(二选一多路器)相关推荐

  1. 小梅哥-建工程+二选一多路器

    1. 通过单击 Quick Start 组的 Create Project 来新建一个 Vivado 设计工程. 说明:在 Vivado 的启动界面中分为 Quick Start.Tasks.Lear ...

  2. 小梅哥Xilinx FPGA学习笔记1——二选一多路器

    二选一多路器 〇.功能介绍 1.功能描述 2.原理图 一.代码编写 1.设计文件 2.激励文件 3.仿真图 二.总结 〇.功能介绍 1.功能描述 sl为控制信号,a,b为两个输入信号,out为输出信号 ...

  3. 跟着小梅哥初学FPGA ,vivdao开发平台,二选一多路选择器。

    今天正式开始自己的FPGA自学之路,由于导师项目需要,xilinx ZYNQ 7系列的板子,vivado软件平台进行开发,所以自己也直接学习vivado软件开发的板子,我自己学习所用的开发板是xili ...

  4. Verilog学习之四选一多路器设计

    文章目录 前言 一.题目描述 二.实现思路 三.代码展示 解法一 解法二 总结 前言 ​ 在前面我们对 Verilog 的基础语法知识进行了学习,对 Verilog也有了一定的了解,接下来的一段时间我 ...

  5. Verilog门级实现二选一多路选择器

    使用门级电路实现1位二选一多路选择器. 通过真值表设计电路: 使用Verilog门级实现: module MUX2X1(A0,A1,S,Y);//命名 input A0,A1,S;//输入,全部为1位 ...

  6. 【校招Verilog快速入门】基础语法篇:VL1、四选一多路器

    文章目录 一.题目 二.题解 一.题目 描述 制作一个四选一的多路选择器,要求输出定义上为线网类型 状态转换: d0 11 d1 10 d2 01 d3 00 信号示意图: 波形示意图: 输入描述: ...

  7. 【牛客网刷题】VL1 四选一多路器

  8. 基于Verilog HDL与虚拟实验平台的【计算机组成】与CPU实验第三章:三态门和多路器

    1判断(2分) 数字逻辑电路有两大种类型,组合逻辑电路和时序逻辑电路,组合逻辑电路"没有记忆",输出由输入决定,时序逻辑电路"有记忆",输出由当前输入和以前的状 ...

  9. Verilog练习笔记(四选一多路选择器)

    制作一个四选一的多路选择器,要求输出定义上为线网类型 状态转换: d0    11 d1    10 d2    01 d3    00 信号示意图: 波形示意图: 输入描述: 输入信号   d1,d ...

最新文章

  1. mapreduce编程实例(4)-求中位数和标准差
  2. vb 字符串替换_学习VB编程第69天 字符串查找与替换
  3. 【概率论】1-0:介绍
  4. 少数民族青年作家要有更高的标准和目标
  5. ueditor百度富文本编辑器linux下报错: class path resource [config.json] cannot be resolved to absolute file path
  6. python数据框循环生成_python - 如何在 Pandas 的for循环迭代中创建多个数据框?
  7. 第十章:XAML标记扩展(二)
  8. mysql的事物隔离机制?
  9. Spring源码解析(五)——自定义标签解析
  10. JavaScript库资源
  11. GridView样式设置
  12. Oracle 锁表查询大全
  13. 全国青少年软件编程等级考试标准 (预备级)1-4级
  14. MySQL8的URL和Driver的写法
  15. 科技正渗透进你生活的全部
  16. spring boot新闻管理系统 毕业设计源码211113
  17. python监控linux运行程序_python linux监控程序
  18. 实现DNS主从复制、子域、转发、智能DNS
  19. 手Q体验不满意的地方之(3)——个人设置栏
  20. Typecho的背景图片API

热门文章

  1. 重庆三峡学院计算机英语命题人,重庆三峡学院计算机博弈代表队再创佳绩
  2. 最黑外包文思海辉西安分公司(连载二之法院结果)
  3. 电商平台API接口大全
  4. 倩女幽魂2服务器维护怎么抢先进,倩女幽魂2宁远觉华战役攻略:简单粗暴抢分秘诀分享...
  5. 苹果能不能分屏_安卓机也能运行电脑软件?好东西不能苹果独享
  6. 一年之内融资两轮、签单数千万,外界“看不懂”的数澜科技是怎么做到的?
  7. springboot整合kafka实现批量消费
  8. pure-ftpd.conf 的翻译版
  9. LEADTOOLS 入门教程: 自动识别和处理表单 - C# .NET Core
  10. 市场驱动传播趋势,通过AIDMA、AISAS认知ISMAS