来自微信公众号 “数字芯片实验室”

加减法是一类非常基础的运算,本文分析最简单的行波进位加/减法器(Ripple CarryAdder/Subtractor)的硬件开销和性能问题。

在文章的开始控制变量,仅使用工艺库中的基本逻辑门

AND-AND2X1

NOT-INVX1

OR-OR2X1

1bit半加器

上面分别是1bit半加器的真值表、逻辑关系式和原理图。然后编码Verilog HDL,综合设计,分析以及可视化关键路径。

module ha (Cout, Sum, A, B);
input A, B;
output Cout, Sum;
wire Cbar,p;
AND2X1 a1 (.Y(Cout), .A(A), .B(B)) ;
INVX1 i1 (.Y(Cbar), .A(Cout) ) ;
OR2X1 o1 (.Y(p), .A(A), .B(B)) ;
AND2X1 a2 (.Y(Sum), .A(Cbar), .B(p));
endmodule // ha

1bit全加器

上面分别是1bit全加器的真值表、逻辑关系式和原理图。层次化的设计方法复用半加器逻辑,提高设计效率。然后编码Verilog HDL,综合设计,分析以及可视化关键路径。

module fa(Cout,Sum,A,B,Cin);input A,B,Cin;output Cout,Sum;wire c1,s1;haha1(.Cout(c1), .Sum(s1), .A(A), .B(B));wire c2 ;haha2(.Cout(c2), .Sum(Sum), .A(Cin), .B(s1));OR2X1 o1(.Y(Cout),.A(c1),.B(c2)) ;
endmodule //fa

接下来进入本文的重点,行波进位加法器(Ripple Carry Adder,RCA)。

这是最简单的多比特加法器。一个n比特的RCA需要n个全加器,第k-1个全加器的carry out,作为第k个全加器的carry in。虽然设计简单,但是由于这种进位传播方式,会造成随着加法器比特数增加,硬件开销和延时也会线性增加。

1~5比特行波进位加法器硬件开销

1~5比特行波进位加法器关键路径延时

在数字系统设计中加法器和加法器一样重要。根据A-B=A+(-B),对于n比特加法器只需要增加n个异或门即可完成n比特减法器

如果Sub=1,表示执行减法计算,反之执行加法计算。

四位行波进位加法器_行波进位加/减法器的硬件开销和性能分析相关推荐

  1. 四位行波进位加法器_超前进位加法器amp;行波进位加法器

    超前进位加法器&行波进位加法器 八位超前进位加法器 原理 设计文件 综合电路 测试文件 仿真波形 八位行波进位加法器 原理 设计文件 测试文件 仿真波形 总结 八位超前进位加法器 原理 有学弟 ...

  2. 四位行波进位加法器_【HDL系列】硬件加法器原理与设计小结

    硬件加法器种类繁多,对于不同的设计,加法器的需求也不一样.在前端设计中,使用符号"+"便可轻而易举地实现加法器.只是在特殊的情况下,指定选择加法器类型,或许可以用到.其他情况,可以 ...

  3. 32位进位选择加法器_超前进位加法器amp;行波进位加法器

    超前进位加法器&行波进位加法器 八位超前进位加法器 原理 设计文件 综合电路 测试文件 仿真波形 八位行波进位加法器 原理 设计文件 测试文件 仿真波形 总结 八位超前进位加法器 原理 有学弟 ...

  4. 四位行波进位加法器_【HDL系列】半加器、全加器和行波进位加法器原理与设计...

    加法器是算术运算的一种,在计算机和一些处理器中被运用于算术逻辑单元ALU中或者处理器的其他部分如计算地址,加减操作等类似操作.今天.我们来重温下数字电路中的加法器. 一.半加器 半加器用于计算2个单比 ...

  5. 四位行波进位加法器_【HDL系列】超前进位加法器原理与设计

    上期介绍了半加器.全加器以及行波进位加法器(RCA),本文介绍超前进位加法器(Lookahead Carry Adder,简称LCA).在介绍超前进位加法器前,我们先来分析下行波进位加法器的关键路径. ...

  6. 四位行波进位加法器_【HDL系列】进位选择加法器原理与设计

    前期已介绍了行波进位加法器(Ripple Carry Adder, RCA)依赖于低位进位,所以具有超长的进位链和关键路径.对于RCA的改进中,进位选择加法器(Carry Select Adder)是 ...

  7. 四位行波进位加法器_【HDL系列】进位旁边加法器原理与设计

    一.进位旁边加法器 进位旁路加法器(Carry Skip Adder,CSA),也称Carry Bypass Adder.需要注意的是,CSA也是另外一种加法器--进位保存加法器(Carry Save ...

  8. 四位行波进位加法器_【HDL系列】Sklansky加法器原理与设计

    Sklansky加法器是另一种并行高速的树形加法器,由Sklansky于1959年发表,该加法器对比特位进位层级分组,根据对不同比特组所有可能的进位计算所有可选的和与进位,所以也叫Conditiona ...

  9. 四位行波进位加法器_《城市:天际线》中的图灵机:用水电管道做一个四位加法器...

    你真的可以在<城市:天际线>游戏中构建一个4位加法器(4-bit adder). <城市:天际线>是一款城市模拟游戏,其复杂的游戏机制甚至允许玩家在当中建起通用逻辑门(univ ...

最新文章

  1. 编程的50种基础算法_[校招基础算法]常见的ML、DL编程题
  2. 企业文化建设不能仅仅靠大声疾呼
  3. 推荐系统遇上深度学习(一)--FM模型理论和实践
  4. Qt编写echart仪表盘JS交互程序支持webkit和webengine(开源)
  5. navicat连接PostgreSQL报:column “rolcatupdate” does not exist ...错误的解决办法
  6. 什么叫内部银团_什么样的户型是好户型
  7. 使用Struts2开发Java Web应用程序(目录)
  8. 头条面试题,4种解法,60+图清晰讲解,面试官让我优化,小夕一通优化最终击败100%用户
  9. 介绍Flex UI测试工具:FlexMonkey
  10. key-list类型内存数据引擎介绍及使用场景
  11. 水声方位估计 - MUSIC算法
  12. 破解金山ocr文字识别软件
  13. JavaScript模块化编程(CommonJS篇)
  14. 视频教程-JSP+Servlet实战视频课程-Java
  15. OpenAI公开Dota 2论文:胜率99.4%,「手术」工具连续迁移训练
  16. 多传感器融合定位开源工程与论文
  17. python 中无限循环_Python中如何解决无限循环的问题
  18. html标签选择器只认最后一个,选择某类的最后一个元素——CSS3伪类选择器走过的坑...
  19. linux下玩三国志游戏,三国志威力无双手游官网版
  20. JZOJ 1266. 玉米田

热门文章

  1. 怎样创建网页快捷方式,用非默认浏览器打开该网页
  2. IT服务公司经理专栏:小公司管理(4)
  3. vue-quill-editor:富文本编辑器使用
  4. 资产监测设备之华大单片机Boot软件升级
  5. 千寻CORS定位服务令人眼前一亮
  6. label的自动换行
  7. 电力电子中的DSP开发板使用 --- 接地篇
  8. 计算机右击加设备管理器,win10系统计算机右键菜单添加设备管理器的还原步骤...
  9. (转)国企,私企与外企利弊通观--关键时刻给应届毕业生及时点拨
  10. MyEclipse下载官方网址