上次的文章,小白介绍了如何在Quartus上面创建编译一个工程,以一个计数器为例!但是在实际的工程中,仿真往往更加的重要。小白呢,喜欢用Quartus调用Modelsim来进行仿真。下面就介绍如何在Quartus中生成仿真测试文件的模板?如何调用Modelsim进行仿真?如何看波形?

接上文:

4-1:选择processing中的start,点击Start Test Bench,如下图所示,这样就可以生成仿真模板了,注意这只是模板,还是得去进行修改。

4-2:生成仿真模板后,查看仿真模板的生成路径,在下图所示,打开仿真模板就是cnt.vt文件。你可能打开了相应的路径,但是找不到cnt.vt文件,这是只需要点击All Fires!!!就是第二张图蓝条显示的地方,如下图所示。

4-3:打开之后,将小白所选中的地方,删除掉!!!选中的地方如下图所示。

记住这些选中的地方是要删除掉的!!!其他注释的地方可以删除也可以不删除!!!为了使同学门更好的了解模板的结构,小白将删除后的结果附在下图!!!注意啊,这只是模板!!!

4-4:现在来添加仿真文件,这个得自己编写,小白将本次编写的计数器仿真代码附在下面,同学们可以参考参考。记得module后的端口名-cnt_vlg_tst,这个后面会有用,双击端口名,可以全选,右键选择复制。

在rst = 1'b1;的下一行加上

#1000 $stop; //过1000ns后,仿真自动停止,就是:

    rst = 1'b1;#1000 $stop;end
always  #10 clk = ~clk;  //它的意思是每过10ns,时钟翻转一次,周期即是20ns,就是50MHZ.

5:到此为止,本次计数器的仿真模板环节就结束了,下面就是如何添加仿真模板?如何调用Modelsim?

5-1:第一步呢,还是先保存,一定要保存!!!然后点击Aaaignments中的Settings,会出现以下界面。

5-2:这就是出现的界面,点击蓝色的小圆点,选择Test Benches。

5-3:这就是选择后的界面,点击New...

5-4:点击之后会出现以下界面,然后我们将刚刚复制的端口名,放到Test bench name:里。

5-5:点击File name:后的三个点...   会出现以下界面,选择cnt.qbf,就是那个带图标的文件。选择后,点击open。

5-6:点击完后,然后点击Add。

5-7:点玩后,点击OK,点三次OK,这种连点OK是不是超爽!!!好了,点完之后,再次点击start compile!!!

5-8:点击完编译之后,我们只要点击功能仿真就行了,如何点击,如下图所示!!!点击完后,我们就等着就行了,不要着急啊!!!

6:此时等待Modelsim自己跳出来,不要急,就让Modelsim自己运行完毕,然后会出现以下界面:

这个界面就是modelsim我们所仿真的界面,到这步,我们就成功了!!!

6-1:然后我们添加信号,看波形!!!按住ctrl,选择这三个

信号,右键点击Add Wave,即可添加信号,打开查看波形的窗口!!!

6-2:这就是本次仿真的结果,可以看到计数器在每个上升沿处加1,直到15,计数器清零,重新计数,本次入门级结束!!!

Quartus II 13.1入门级使用方法 -仿真篇,适用于小白相关推荐

  1. Quartus II 13.0无modelsim进行仿真(用自带仿真器)

    网上都说9.0后面的版本不带仿真功能,但我发现13.0还是有自带仿真. 接下来我用Quartus II 13.0进行一次简单的仿真: 1.新建文件夹test作为工程目录 2.打开Quartus,选择f ...

  2. Quartus II 13.0 使用自带仿真小窗口闪退问题(2022.03.21)

    问题具体描述:我在win7环境下安装了破解版的13.0,编译没有问题,选择Quartus II Simulator 进行仿真时出现小窗口一闪而过,随后没有其他反应. 解决过程:据说工程目录里不可以有空 ...

  3. Quartus II 13.1破解后无法仿真波形的解决办法(MGLS、LM环境变量配置出错)

    先说原因:只破解了Quartus(到在Quartus中设置修改好的license.dat就结束了),没有破解Quartus中的仿真器Modelsim 解决方法一:放弃13.1,下载Quartus 9. ...

  4. Quartus II 13.0波形仿真

    先放结论:Quartus II 13.0有自带的仿真工具,能实现波形仿真. 之前一直找不到关于Quartus II 13.0的波形仿真,然后百度的都是说quartus ii 9.0之后的版本就没有这个 ...

  5. Quartus II 13简易仿真教程

    Quartus II 13简易仿真教程 编译项目 新建vwf仿真文件 已知的bug 编译项目 新建vwf仿真文件 filr>new>verification/debugging files ...

  6. Quartus II 13.0sp1 (64-bit)使用教程

    本人大三在学习计算机组成原理,要用到Quartus II 13.0sp1 (64-bit),但是下载安装完以后发现不会用,世界这么大,百度也没有任何收获,啊啊啊,昨天终于会用了,所以写了这个教程,希望 ...

  7. win10下quartus ii 13.1原理图图标和元件名字体大小问题

    近日我下载了一个quartus ii 13.1,但是遇到一下问题: 如图,在原理图中图标太小,而字体太大,使用正常但是太费眼角,而且不方便,结果全身事件,解决方法如下: 在桌面快捷方式右键,选择打开文 ...

  8. Quartus II 13.1(Linux版本)安装

    Quartus II 13.1(Linux版本)安装 需要文件:Quartus II 13.1-Linux安装包.Device库.pj文件 ps :最近因为需要,打算测试下在Linux系统(Ubunt ...

  9. 下载 Quartus II 13.1以及添加cyclone V的器件库

    软件下载地址 链接:https://pan.baidu.com/s/197_GDzjOIRQj-EXFczkgLA 提取码:wang 复制这段内容后打开百度网盘手机App,操作更方便哦 破解方法 下载 ...

  10. Quartus II 13.1 调用NCO IP核无法生成终于搞定了

    Quartus II 13.1 NCO IP核无法生成终于搞定了 1.调用NCO IP核时遇到的问题 2.解决我的问题的方法 1.调用NCO IP核时遇到的问题 初学FPGA的一只菜鸟,在树(书)上看 ...

最新文章

  1. 元胞自动机与相关理论和方法
  2. 问题: 将N个元素使用push_back插入到vector中, 求push_back操作的复杂度。
  3. com/mysql/jdbc/sqlerror_com.mysql.jdbc.sqlerror
  4. 微软TechEd 2006亲历(六):微软新一代系统管理平台面纱待揭
  5. MongoDB Sharding 请勿复用已删除的 namespace
  6. php mssql扩展SQL查询中文字段名解决方法
  7. 为什么DevOps和SRE职位这么难招人?
  8. Python之with语句
  9. java import list_Java中的List集合
  10. 显示网站Alexa世界排名的代码
  11. L1-087 机工士姆斯塔迪奥
  12. jQuery下载和基础使用(超详细)
  13. java osm_osm 数据格式(openstreet map)与Route | 学步园
  14. LiDAR 4 固态激光雷达 (Flash LiDAR)
  15. B2C网站提高转化率的方法
  16. AutoMapper小结
  17. 发票查询,验证码无法刷新问题解决
  18. JESD79-4 第2章 DDR4 SDRAM 的引脚封装与寻址
  19. Android启动摄像机拍照存储展示
  20. win10照片查看器_不想要软件左下角小标识?这个软件搞定WIN10美化+优化

热门文章

  1. 使用PageOffice---如何在模板中添加数据区域
  2. 江苏开放计算机应用基础性考,江苏开放大学计算机应用基础形考第二次作业答案...
  3. 用Node.JS分析steam所有的游戏!
  4. 升级AndroidX appcompat 1.2+版本导致多语言切换失败解决方案及问题分析
  5. C语言知识点总结2022
  6. RG-IS2700G工业交换机ERPS技术解析
  7. Maven下载安装配置详细过程
  8. java调用win32_java调用win32api操作windows窗口
  9. 在线英文翻译中文比较
  10. mysql 全关联查询_Mysql 关联查询(内联、左联、右联、全联)