Quartus II 13.1(Linux版本)安装

需要文件:Quartus II 13.1-Linux安装包、Device库、pj文件

ps :最近因为需要,打算测试下在Linux系统(Ubuntu)的服务器跑quartus II的速度,原本以为会很轻松就可以测试完,但是没想到从下载软件到安装就是一条坎坷的路,为方便他人,也为了给自己做个记录,此篇文章由此诞生,hhhhhhhh*

安装之路——安装包的心酸
首先我在网上找了很久的Quartus 13.1的安装包,(因为Intel官网的安装包我不知道为什么下载不起)也别问我为什么不下载最新的,因为有它的好处,也用得习惯。然后找的了很多,而且都是*.run的类型,器件库也都是该格式,我根据网上的方法对文件进行chmod u+x *.run,再去运行,但是安装界面都一直没启动成功,于是各种尝试,看别人的安装方法,安装驱动……一系列伤心的往事,最后还是没装成功。
不过我还是没放弃,又开始在网上到处逛,找资源,找教程,找解决方法,终于找到了一个比较合适的安装教程,不过它要求的是iso文件,却又没给出安装文件,真的很让人头秃,不过我好好分析了下某个地址,嘿嘿,具体方法保密,然后得到了altera的原下载地址,还能用,这边放出安装包和器件库
http://download.altera.com/akdlm/software/acdsinst/13.1/162/iso/Quartus-13.1.0.162-linux.iso
http://download.altera.com/akdlm/software/acdsinst/13.1/162/iso/Quartus-13.1.0.162-devices-1.iso
http://download.altera.com/akdlm/software/acdsinst/13.1/162/iso/Quartus-13.1.0.162-devices-2.iso

安装之路——懵逼的安装
在安装包都准备好之后,正式走上安装的路,没想到又遇到BUG了,心累……
将Linux.iso直接解压或者挂载在指定文件夹,进入altera_13.1_linux 文件夹,在终端运行 ./setup.sh,然后发现会报错,说是bash: ./setup.sh: /bin/env: bad interpreter: No such file or directory,原因是Ubuntu和Redhat的那个bash存放的路径不一样,(这个BUG困了我蛮久,因为网上的教程说的比较简单,然后个人理解有点差异,就花了些时间解决);解决的方法就是进setup.sh直接在首行进行编辑,把bash路径改成Ubuntu的,如下图,

注:如果遇到文件只读,可强制或者加入可写权限对文件进行编辑。.
改好后,再次运行,运行setup.sh时会有提示(You must have the 32-bit compatibility libraries installed for the Quartus II installer and software to operate properly.),
解决方法:终端输入

sudo apt-get install libxtst6:i386 libxi6:i386 -y

没有提示的就不管。
接着出现的就是我们熟悉的安装界面了,器件库的镜像文件如果放在同一路径的话,可以自己把自己需要的Device安装了,安装完成。
注:1、如果安了软件,但是还没装器件库,就进入器件库的那个iso,找到该文件

在终端直接运行,进入安装器件库界面,如果没反应,再用下面方法再来
2、找到这个文件,注意文件路径

运行,就是器件库安装向导了,接下来的安装使用和windows一样。

安装之路——难寻的pj文件
安装好软件和器件库后,就需要pj了,pj文件也是让人找了许久,才终于凑了个出来,话不多说,上crack:

pj文件下载

把Crack_QII_13.1_linux.zip和Crack_QII_13.1_linux64.zip里面的文件分别解压缩后,在/altrea/13.1/quartus/linux和/altrea/13.1/quartus/linux64里面分别替换同名文件即可。
license文件和Windows一样,网卡号需要修改。

安装之路——usb blaster 配置
软件终于辛苦的装好了,接下来久配置一下最后下程序的操作吧,插上下载器,便可以直接检测,而不需要每次赋权限。
方法:在/etc/udev/rules.d这个默认的规则目录下新建一个以rules为后缀的文件

sudo nano /etc/udev/rules.d/51-usbblaster.rules

然后里面添加这句话:

# USB-Blaster
SUBSYSTEM=="usb", ATTR{idVendor}=="09fb", ATTR{idProduct}=="6001", MODE="0666", SYMLINK+="usbblaster"

保存好就行了这样usb blaster用起来相当方便。
插上usb blaster与板卡进行连接,可以直接进这边试试检测结果


点Start,然后可以看到检测到的芯片。

-------------------------------------------------END-------------------------------------------------
PS:Linux我其实不太会用,搞得十分心酸……
若有错误欢迎指出

Quartus II 13.1(Linux版本)安装相关推荐

  1. Quarter II 13.0下载、安装、破解包括可能出现的几乎所有的问题详解

    首先感谢这篇文章的主人:江清月明 https://blog.csdn.net/qq_18649781/article/details/81025650 截止到我写这篇博客为止,她的这篇博客的访问量已经 ...

  2. linux怎么运行quartus,如何安裝Linux版本的Quartus II

    如何安裝Linux版本的Quartus II 更新时间:2019-03-11 02:57 最满意答案 1.软件下载: 关于quartus ii软件,都可以从altera的官方网站下载到 对于linux ...

  3. Quartus II 13.1的下载和安装

    文章目录 一.Quartus II的下载 二.Quartus II的安装 三.Quartus II的注册 参考 一.Quartus II的下载 百度网盘下载链接: https://pan.baidu. ...

  4. Quartus II 13.0波形仿真

    先放结论:Quartus II 13.0有自带的仿真工具,能实现波形仿真. 之前一直找不到关于Quartus II 13.0的波形仿真,然后百度的都是说quartus ii 9.0之后的版本就没有这个 ...

  5. 关于Quartus II 13.0破解失败问题

    fpga环境配置问题 问题描述: 安装quartus II 13.0时,使用破解器生成licence.dat文件时,提示了大致如下内容: 提示框的标题是sys_cpt.dll. 显示问题:该文件正在使 ...

  6. quartus ii 13.0下载地址

    原文地址为: quartus ii 13.0下载地址 http://download.altera.com/akdlm/software/acdsinst/13.0/156/ib_tar/Quartu ...

  7. Quartus II 13.0sp1 (64-bit)使用教程

    本人大三在学习计算机组成原理,要用到Quartus II 13.0sp1 (64-bit),但是下载安装完以后发现不会用,世界这么大,百度也没有任何收获,啊啊啊,昨天终于会用了,所以写了这个教程,希望 ...

  8. Quartus II 13.0 添加器件库教程(包含下载地址)

    Quartus II 13.0 添加器件库教程(包含下载地址) 记录本人添加器件库的过程,同大家分享. 安装Quartus II 13.0请自行参照 CSDN:https://blog.csdn.ne ...

  9. win10下quartus ii 13.1原理图图标和元件名字体大小问题

    近日我下载了一个quartus ii 13.1,但是遇到一下问题: 如图,在原理图中图标太小,而字体太大,使用正常但是太费眼角,而且不方便,结果全身事件,解决方法如下: 在桌面快捷方式右键,选择打开文 ...

最新文章

  1. 360安全浏览器兼容模式怎么设置_360浏览器极速模式怎么设置
  2. 【实践】简洁大方的summernote 富文本编辑器插件的用发——导入篇
  3. 【分析】回顾2014年“BAT”投资/并购图谱
  4. 华为二面!!!面试官直接问我Java中到底什么是NIO?这不是直接送分题???
  5. 华为nova7保密柜_华为发布nova8系列新品 轻松拍出Vlog黄金脸占比
  6. halcon旋转后坐标_FPGA大赛【八】具体模块设计图像旋转方案
  7. anaconda 怎么安装xlrd_Pyinstaller打包,文件太大了怎么办?
  8. dbsync for oracle ms sql,DBSync for Firebird and MSSQL
  9. 4大要点搞定企业私有云建设
  10. 思科华为命令没有对比就没有伤害
  11. 超实用的JavaScript技巧及最佳实践(下)
  12. 拓端tecdat|Excel中计算票面利率Coupon Rate
  13. JAVA_OPTS设置详解
  14. Vcc(电源)和GND(地)之间接电容的作用
  15. .强力卸载或者删除文件
  16. 天翼云80/8080/443端口访问不通问题
  17. CentOS7 mysql8.0 国内镜像源安装
  18. 从1到N,第十二届中国IDC产业年度大典在京启幕
  19. 泰山OFFICE技术讲座:中英文间隔,间隔以哪个字体为准?
  20. ISP许可证办理攻略全了解

热门文章

  1. 锈才学设计模式之 —— 观察者模式(Observer Pattern)
  2. 浏览器里面的硬件加速有什么用
  3. git 不常用命令小札
  4. 查看webpack版本_webpack小结-生产环境构建优化
  5. java服务linux系统cpu占用96以上问题解决方案
  6. 10年嵌入式工程师总结的Bug调试经验
  7. 原神过剧本键盘精灵脚本
  8. 女朋友生日如何浪漫送她一个3D音乐爱心相册
  9. Unity相册滚动效果
  10. 如何使用CMD查询电脑连接的无线网络密码或如何查看电脑连接的无线网络密码