软件下载地址

链接:https://pan.baidu.com/s/197_GDzjOIRQj-EXFczkgLA
提取码:wang
复制这段内容后打开百度网盘手机App,操作更方便哦

破解方法

下载好后要先退出手机上的安全软件不然,破解软件会被删掉。
破解教程按照前人大佬步骤来就行。
我用#CSDN#这个app发现了有技术含量的博客,小伙伴们求同去《Quartus II 13.1c (64-bit)与仿真器的安装与破解》, 一起来围观吧 https://blog.csdn.net/u010830004/article/details/81008764
按照步骤来细心一点,安装路径千万不能是中文。最好也不要安装在C盘。

添加cyclone V器件库

安装完是发现没有Cyclone V 器件库的

这时就需要添加器件库了,就是以qdz为后缀的文件

这里我们添加cyclone v的器件库
首先以***管理员身份运行***Quartus II 13.1

cyclonev-13.1.0.162.qdz文件复制到到安装目录的quartus\bin目录下,我的是
D:\EDA\quartus\bin目录下
然后点击工具栏tools 然后点击install device

然后next,选择这个\quartus\bin目录
点击next 然后勾选,他默认自动勾选。直接next安装就行。

安装完后就可以发现有cyclone v 这个器件库了

添加器件库的更多参考请看
我用#CSDN#这个app发现了有技术含量的博客,小伙伴们求同去《Quartus II 13.1添加器件库方法》, 一起来围观吧 https://blog.csdn.net/weixin_40663623/article/details/103573995
里面有器件库官网下载地址。

注意事项

1.先关闭杀毒软件再解压
2.要先破解才能添加器件库,并且要以管理员身份运行。
3.破解后才能看波形,作相应实验,亲测可用。感谢以上两个博客的参考。
如图是2选1数据选择器

下载 Quartus II 13.1以及添加cyclone V的器件库相关推荐

  1. Quartus II 13.0 添加器件库教程(包含下载地址)

    Quartus II 13.0 添加器件库教程(包含下载地址) 记录本人添加器件库的过程,同大家分享. 安装Quartus II 13.0请自行参照 CSDN:https://blog.csdn.ne ...

  2. Quartus II 13.1的下载和安装

    文章目录 一.Quartus II的下载 二.Quartus II的安装 三.Quartus II的注册 参考 一.Quartus II的下载 百度网盘下载链接: https://pan.baidu. ...

  3. quartus ii 13.0下载地址

    原文地址为: quartus ii 13.0下载地址 http://download.altera.com/akdlm/software/acdsinst/13.0/156/ib_tar/Quartu ...

  4. Quartus II 13.0波形仿真

    先放结论:Quartus II 13.0有自带的仿真工具,能实现波形仿真. 之前一直找不到关于Quartus II 13.0的波形仿真,然后百度的都是说quartus ii 9.0之后的版本就没有这个 ...

  5. Quartus II 13.1(Linux版本)安装

    Quartus II 13.1(Linux版本)安装 需要文件:Quartus II 13.1-Linux安装包.Device库.pj文件 ps :最近因为需要,打算测试下在Linux系统(Ubunt ...

  6. Quartus II 13.0sp1 (64-bit)使用教程

    本人大三在学习计算机组成原理,要用到Quartus II 13.0sp1 (64-bit),但是下载安装完以后发现不会用,世界这么大,百度也没有任何收获,啊啊啊,昨天终于会用了,所以写了这个教程,希望 ...

  7. win10下quartus ii 13.1原理图图标和元件名字体大小问题

    近日我下载了一个quartus ii 13.1,但是遇到一下问题: 如图,在原理图中图标太小,而字体太大,使用正常但是太费眼角,而且不方便,结果全身事件,解决方法如下: 在桌面快捷方式右键,选择打开文 ...

  8. Quartus II 13.0无modelsim进行仿真(用自带仿真器)

    网上都说9.0后面的版本不带仿真功能,但我发现13.0还是有自带仿真. 接下来我用Quartus II 13.0进行一次简单的仿真: 1.新建文件夹test作为工程目录 2.打开Quartus,选择f ...

  9. 关于Quartus II 13.0破解失败问题

    fpga环境配置问题 问题描述: 安装quartus II 13.0时,使用破解器生成licence.dat文件时,提示了大致如下内容: 提示框的标题是sys_cpt.dll. 显示问题:该文件正在使 ...

最新文章

  1. [题解]UVA10054 The Necklace
  2. CSS 实现左侧固定,右侧自适应两栏布局的方法
  3. python数据库连接池工具类_Python数据库连接池DBUtils
  4. 前端学习(2224):react之函数式组件
  5. ssm如何支持热部署_Pipedrive如何在每天部署50+次的情况下支持质量发布?
  6. 实验:基于keepalived实现两台realserver服务器中的nginx和php-fpm服务互为主从
  7. Spark核心RDD详述
  8. 延时摄影制作软件——GlueMotion for Mac支持m1
  9. SVN打开HTML文件,tortoisesvn安装后怎么打开
  10. 播放抓取的H263 RTP视频
  11. pdf editor android,MaxiPDF PDF editor builder
  12. 使用 Beyond Compare 和版本控制系统
  13. chromium 47 Chrome浏览器启动命令行参数
  14. matlab数据类型single vs double
  15. Web安全工具大汇聚
  16. 灌木修剪机的全球与中国市场2022-2028年:技术、参与者、趋势、市场规模及占有率研究报告
  17. 数据结构与算法之美(一):概论
  18. FAST_LIO_SAM 融入后端优化的FASTLIO SLAM 系统 前端:FAST_LIO2 后端:LIO_SAM
  19. java课程报告答辩_Java课程设计答辩之感想
  20. Google PageRank 的过去、现在和未来

热门文章

  1. 高性能网络编程(1)—accept建立连接‍(转载,作者:陶辉)
  2. 新标日初级上册单词17----20
  3. 互联网运营面试题_互联网运营、产品岗一定要看的面试题
  4. 配置风险收益还是配置噪音
  5. 腾讯 TKE 厉害了!用 eBPF绕过 conntrack 优化K8s Service,性能提升40%
  6. 华为OD机试用Python实现 -【硬件产品销售方案】(2023-Q1 新题)
  7. Plsql 注册解决办法
  8. element-ui表单验证(验证手机号是否正确,自定义验证规则)
  9. sleep函数c语言实现,C语言拓展实现Lua sleep函数
  10. ⭐openGauss数据库源码解析系列文章—— 角色管理⭐